summaryrefslogtreecommitdiff
path: root/verilog/alu/obj_dir/Valu__ALL.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'verilog/alu/obj_dir/Valu__ALL.cpp')
-rw-r--r--verilog/alu/obj_dir/Valu__ALL.cpp9
1 files changed, 0 insertions, 9 deletions
diff --git a/verilog/alu/obj_dir/Valu__ALL.cpp b/verilog/alu/obj_dir/Valu__ALL.cpp
deleted file mode 100644
index ed815ee..0000000
--- a/verilog/alu/obj_dir/Valu__ALL.cpp
+++ /dev/null
@@ -1,9 +0,0 @@
-// DESCRIPTION: Generated by verilator_includer via makefile
-#define VL_INCLUDE_OPT include
-#include "Valu.cpp"
-#include "Valu___024root__DepSet_ha59b247d__0.cpp"
-#include "Valu__Trace__0.cpp"
-#include "Valu___024root__Slow.cpp"
-#include "Valu___024root__DepSet_ha59b247d__0__Slow.cpp"
-#include "Valu__Syms.cpp"
-#include "Valu__Trace__0__Slow.cpp"