summaryrefslogtreecommitdiff
path: root/verilog/alu/obj_dir/tbalu.d
diff options
context:
space:
mode:
Diffstat (limited to 'verilog/alu/obj_dir/tbalu.d')
-rw-r--r--verilog/alu/obj_dir/tbalu.d9
1 files changed, 9 insertions, 0 deletions
diff --git a/verilog/alu/obj_dir/tbalu.d b/verilog/alu/obj_dir/tbalu.d
new file mode 100644
index 0000000..4964d6f
--- /dev/null
+++ b/verilog/alu/obj_dir/tbalu.d
@@ -0,0 +1,9 @@
+tbalu.o: ../tbalu.cpp /usr/share/verilator/include/verilated.h \
+ /usr/share/verilator/include/verilatedos.h \
+ /usr/share/verilator/include/verilated_config.h \
+ /usr/share/verilator/include/verilated_types.h \
+ /usr/share/verilator/include/verilated_funcs.h \
+ /usr/share/verilator/include/verilated_vcd_c.h \
+ /usr/share/verilator/include/verilated.h \
+ /usr/share/verilator/include/verilated_trace.h \
+ /usr/share/verilator/include/verilated_trace_defs.h Valu.h ../aluOp.h