summaryrefslogtreecommitdiff
path: root/verilog/instr_mem.mem
diff options
context:
space:
mode:
Diffstat (limited to 'verilog/instr_mem.mem')
-rw-r--r--verilog/instr_mem.mem55
1 files changed, 55 insertions, 0 deletions
diff --git a/verilog/instr_mem.mem b/verilog/instr_mem.mem
new file mode 100644
index 0000000..bd4c611
--- /dev/null
+++ b/verilog/instr_mem.mem
@@ -0,0 +1,55 @@
+01000093
+01234137
+00001197
+02000213
+03000293
+00000013 // NOP Buffer
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013
+00000013