From b8936029065835366e9e057a219c0c5194db8662 Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 14 May 2022 23:30:38 -0500 Subject: Verilog update --- verilog/alu/aluOp.vh | 14 -------------- 1 file changed, 14 deletions(-) delete mode 100644 verilog/alu/aluOp.vh (limited to 'verilog/alu/aluOp.vh') diff --git a/verilog/alu/aluOp.vh b/verilog/alu/aluOp.vh deleted file mode 100644 index c67cd33..0000000 --- a/verilog/alu/aluOp.vh +++ /dev/null @@ -1,14 +0,0 @@ -`ifndef ALU_OP -`define ALU_OP -`define ADD 4'b0000 -`define SUB 4'b1000 -`define XOR 4'b0100 -`define OR 4'b0110 -`define AND 4'b0111 -`define SLL 4'b0001 -`define SRL 4'b0101 -`define SRA 4'b1101 -`define SLT 4'b0010 -`define SLTU 4'b0011 -`define NONE 4'h1111 -`endif -- cgit v1.2.3