From d6b7d26cf51b4b3a7b5604f9b81bb43f55cbc33c Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 16 Apr 2022 23:00:55 -0500 Subject: Added pdfs and more alu stuff --- verilog/alu/aluOp.vh | 14 ++++++++++++++ 1 file changed, 14 insertions(+) create mode 100644 verilog/alu/aluOp.vh (limited to 'verilog/alu/aluOp.vh') diff --git a/verilog/alu/aluOp.vh b/verilog/alu/aluOp.vh new file mode 100644 index 0000000..c67cd33 --- /dev/null +++ b/verilog/alu/aluOp.vh @@ -0,0 +1,14 @@ +`ifndef ALU_OP +`define ALU_OP +`define ADD 4'b0000 +`define SUB 4'b1000 +`define XOR 4'b0100 +`define OR 4'b0110 +`define AND 4'b0111 +`define SLL 4'b0001 +`define SRL 4'b0101 +`define SRA 4'b1101 +`define SLT 4'b0010 +`define SLTU 4'b0011 +`define NONE 4'h1111 +`endif -- cgit v1.2.3