From b8936029065835366e9e057a219c0c5194db8662 Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 14 May 2022 23:30:38 -0500 Subject: Verilog update --- verilog/alu/obj_dir/verilated.d | 9 +++++++++ 1 file changed, 9 insertions(+) create mode 100644 verilog/alu/obj_dir/verilated.d (limited to 'verilog/alu/obj_dir/verilated.d') diff --git a/verilog/alu/obj_dir/verilated.d b/verilog/alu/obj_dir/verilated.d new file mode 100644 index 0000000..7f4c5e4 --- /dev/null +++ b/verilog/alu/obj_dir/verilated.d @@ -0,0 +1,9 @@ +verilated.o: /usr/share/verilator/include/verilated.cpp \ + /usr/share/verilator/include/verilatedos.h \ + /usr/share/verilator/include/verilated_imp.h \ + /usr/share/verilator/include/verilated.h \ + /usr/share/verilator/include/verilated_config.h \ + /usr/share/verilator/include/verilated_types.h \ + /usr/share/verilator/include/verilated_funcs.h \ + /usr/share/verilator/include/verilated_syms.h \ + /usr/share/verilator/include/verilated_sym_props.h -- cgit v1.2.3