From 7a8afb2b6659f88881139fcbcb02de5476952152 Mon Sep 17 00:00:00 2001 From: joshua Date: Mon, 16 May 2022 11:00:23 -0400 Subject: Yes --- verilog/alu/obj_dir/verilated_vcd_c.d | 11 ----------- 1 file changed, 11 deletions(-) delete mode 100644 verilog/alu/obj_dir/verilated_vcd_c.d (limited to 'verilog/alu/obj_dir/verilated_vcd_c.d') diff --git a/verilog/alu/obj_dir/verilated_vcd_c.d b/verilog/alu/obj_dir/verilated_vcd_c.d deleted file mode 100644 index 667485f..0000000 --- a/verilog/alu/obj_dir/verilated_vcd_c.d +++ /dev/null @@ -1,11 +0,0 @@ -verilated_vcd_c.o: /usr/share/verilator/include/verilated_vcd_c.cpp \ - /usr/share/verilator/include/verilatedos.h \ - /usr/share/verilator/include/verilated.h \ - /usr/share/verilator/include/verilated_config.h \ - /usr/share/verilator/include/verilated_types.h \ - /usr/share/verilator/include/verilated_funcs.h \ - /usr/share/verilator/include/verilated_vcd_c.h \ - /usr/share/verilator/include/verilated_trace.h \ - /usr/share/verilator/include/verilated_trace_defs.h \ - /usr/share/verilator/include/verilated_trace_imp.cpp \ - /usr/share/verilator/include/verilated_intrinsics.h -- cgit v1.2.3