From b8936029065835366e9e057a219c0c5194db8662 Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 14 May 2022 23:30:38 -0500 Subject: Verilog update --- verilog/alu/v5/aluOp.vh | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 verilog/alu/v5/aluOp.vh (limited to 'verilog/alu/v5/aluOp.vh') diff --git a/verilog/alu/v5/aluOp.vh b/verilog/alu/v5/aluOp.vh new file mode 100644 index 0000000..b6e916f --- /dev/null +++ b/verilog/alu/v5/aluOp.vh @@ -0,0 +1,16 @@ +`ifndef ALU_OP +`define ALU_OP + +`define ADD 4'b0000 +`define SUB 4'b1000 +`define XOR 4'b0100 +`define OR 4'b0110 +`define AND 4'b0111 +`define SLL 4'b0001 +`define SRL 4'b0101 +`define SRA 4'b1101 +`define SLT 4'b0010 +`define SLTU 4'b0011 +`define NONE 4'b1111 + +`endif -- cgit v1.2.3