From b8936029065835366e9e057a219c0c5194db8662 Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 14 May 2022 23:30:38 -0500 Subject: Verilog update --- verilog/alu/v6/aluOp.h | 16 ++++++++++++++++ 1 file changed, 16 insertions(+) create mode 100644 verilog/alu/v6/aluOp.h (limited to 'verilog/alu/v6/aluOp.h') diff --git a/verilog/alu/v6/aluOp.h b/verilog/alu/v6/aluOp.h new file mode 100644 index 0000000..999dac2 --- /dev/null +++ b/verilog/alu/v6/aluOp.h @@ -0,0 +1,16 @@ +#ifndef ALU_OP +#define ALU_OP + +#define ADD 0 +#define SUB 8 +#define XOR 4 +#define OR 6 +#define AND 7 +#define SLL 1 +#define SRL 5 +#define SRA 13 +#define SLT 2 +#define SLTU 3 +#define NONE 15 + +#endif -- cgit v1.2.3