From b8936029065835366e9e057a219c0c5194db8662 Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 14 May 2022 23:30:38 -0500 Subject: Verilog update --- verilog/alu/v6/obj_dir/Valu6__ver.d | 1 + 1 file changed, 1 insertion(+) create mode 100644 verilog/alu/v6/obj_dir/Valu6__ver.d (limited to 'verilog/alu/v6/obj_dir/Valu6__ver.d') diff --git a/verilog/alu/v6/obj_dir/Valu6__ver.d b/verilog/alu/v6/obj_dir/Valu6__ver.d new file mode 100644 index 0000000..38bf8e0 --- /dev/null +++ b/verilog/alu/v6/obj_dir/Valu6__ver.d @@ -0,0 +1 @@ +obj_dir/Valu6.cpp obj_dir/Valu6.h obj_dir/Valu6.mk obj_dir/Valu6__Slow.cpp obj_dir/Valu6__Syms.cpp obj_dir/Valu6__Syms.h obj_dir/Valu6__Trace.cpp obj_dir/Valu6__Trace__Slow.cpp obj_dir/Valu6__ver.d obj_dir/Valu6_classes.mk : /usr/bin/verilator_bin /usr/bin/verilator_bin alu6.v aluOp.vh -- cgit v1.2.3