From 7a8afb2b6659f88881139fcbcb02de5476952152 Mon Sep 17 00:00:00 2001 From: joshua Date: Mon, 16 May 2022 11:00:23 -0400 Subject: Yes --- verilog/alu/v6/obj_dir/Valu6 | Bin 153976 -> 159960 bytes verilog/alu/v6/obj_dir/Valu6.cpp | 271 +-- verilog/alu/v6/obj_dir/Valu6.h | 111 +- verilog/alu/v6/obj_dir/Valu6.mk | 2 + verilog/alu/v6/obj_dir/Valu6__ALL.a | Bin 18784 -> 18110 bytes verilog/alu/v6/obj_dir/Valu6__ALL.o | Bin 16856 -> 16168 bytes verilog/alu/v6/obj_dir/Valu6__Slow.cpp | 61 - verilog/alu/v6/obj_dir/Valu6__Syms.cpp | 23 +- verilog/alu/v6/obj_dir/Valu6__Syms.h | 44 +- verilog/alu/v6/obj_dir/Valu6__Trace.cpp | 76 - verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp | 10 +- verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp | 20 +- verilog/alu/v6/obj_dir/Valu6__Trace__Slow.cpp | 127 -- verilog/alu/v6/obj_dir/Valu6___024root.h | 8 +- .../Valu6___024root__DepSet_he7565067__0.cpp | 2 - .../Valu6___024root__DepSet_he7565067__0__Slow.cpp | 2 - verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp | 8 +- verilog/alu/v6/obj_dir/Valu6__ver.d | 2 +- verilog/alu/v6/obj_dir/Valu6__verFiles.dat | 31 +- verilog/alu/v6/obj_dir/Valu6_classes.mk | 8 +- verilog/alu/v6/obj_dir/tbalu.o | Bin 14032 -> 10096 bytes verilog/alu/v6/obj_dir/verilated.o | Bin 146256 -> 155904 bytes verilog/alu/v6/obj_dir/verilated_vcd_c.o | Bin 68520 -> 72648 bytes verilog/alu/v6/out | 800 ------- verilog/alu/v6/waveform.vcd | 2251 ++++++++------------ 25 files changed, 1132 insertions(+), 2725 deletions(-) delete mode 100644 verilog/alu/v6/obj_dir/Valu6__Slow.cpp delete mode 100644 verilog/alu/v6/obj_dir/Valu6__Trace.cpp delete mode 100644 verilog/alu/v6/obj_dir/Valu6__Trace__Slow.cpp (limited to 'verilog/alu/v6') diff --git a/verilog/alu/v6/obj_dir/Valu6 b/verilog/alu/v6/obj_dir/Valu6 index 1614e40..9c3d7d9 100755 Binary files a/verilog/alu/v6/obj_dir/Valu6 and b/verilog/alu/v6/obj_dir/Valu6 differ diff --git a/verilog/alu/v6/obj_dir/Valu6.cpp b/verilog/alu/v6/obj_dir/Valu6.cpp index 2e02259..75840d5 100644 --- a/verilog/alu/v6/obj_dir/Valu6.cpp +++ b/verilog/alu/v6/obj_dir/Valu6.cpp @@ -1,207 +1,118 @@ // Verilated -*- C++ -*- -// DESCRIPTION: Verilator output: Design implementation internals -// See Valu6.h for the primary calling header +// DESCRIPTION: Verilator output: Model implementation (design independent parts) #include "Valu6.h" #include "Valu6__Syms.h" +#include "verilated_vcd_c.h" -//========== +//============================================================ +// Constructors + +Valu6::Valu6(VerilatedContext* _vcontextp__, const char* _vcname__) + : vlSymsp{new Valu6__Syms(_vcontextp__, _vcname__, this)} + , alu_op_i{vlSymsp->TOP.alu_op_i} + , alu_in_1{vlSymsp->TOP.alu_in_1} + , alu_in_2{vlSymsp->TOP.alu_in_2} + , alu_output{vlSymsp->TOP.alu_output} + , rootp{&(vlSymsp->TOP)} +{ +} + +Valu6::Valu6(const char* _vcname__) + : Valu6(nullptr, _vcname__) +{ +} + +//============================================================ +// Destructor + +Valu6::~Valu6() { + delete vlSymsp; +} + +//============================================================ +// Evaluation loop + +void Valu6___024root___eval_initial(Valu6___024root* vlSelf); +void Valu6___024root___eval_settle(Valu6___024root* vlSelf); +void Valu6___024root___eval(Valu6___024root* vlSelf); +#ifdef VL_DEBUG +void Valu6___024root___eval_debug_assertions(Valu6___024root* vlSelf); +#endif // VL_DEBUG +void Valu6___024root___final(Valu6___024root* vlSelf); + +static void _eval_initial_loop(Valu6__Syms* __restrict vlSymsp) { + vlSymsp->__Vm_didInit = true; + Valu6___024root___eval_initial(&(vlSymsp->TOP)); + // Evaluate till stable + vlSymsp->__Vm_activity = true; + do { + VL_DEBUG_IF(VL_DBG_MSGF("+ Initial loop\n");); + Valu6___024root___eval_settle(&(vlSymsp->TOP)); + Valu6___024root___eval(&(vlSymsp->TOP)); + } while (0); +} void Valu6::eval_step() { - VL_DEBUG_IF(VL_DBG_MSGF("+++++TOP Evaluate Valu6::eval\n"); ); - Valu6__Syms* __restrict vlSymsp = this->__VlSymsp; // Setup global symbol table - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; + VL_DEBUG_IF(VL_DBG_MSGF("+++++TOP Evaluate Valu6::eval_step\n"); ); #ifdef VL_DEBUG // Debug assertions - _eval_debug_assertions(); + Valu6___024root___eval_debug_assertions(&(vlSymsp->TOP)); #endif // VL_DEBUG // Initialize if (VL_UNLIKELY(!vlSymsp->__Vm_didInit)) _eval_initial_loop(vlSymsp); // Evaluate till stable - int __VclockLoop = 0; - QData __Vchange = 1; + vlSymsp->__Vm_activity = true; do { VL_DEBUG_IF(VL_DBG_MSGF("+ Clock loop\n");); - vlSymsp->__Vm_activity = true; - _eval(vlSymsp); - if (VL_UNLIKELY(++__VclockLoop > 100)) { - // About to fail, so enable debug to see what's not settling. - // Note you must run make with OPT=-DVL_DEBUG for debug prints. - int __Vsaved_debug = Verilated::debug(); - Verilated::debug(1); - __Vchange = _change_request(vlSymsp); - Verilated::debug(__Vsaved_debug); - VL_FATAL_MT("alu6.v", 6, "", - "Verilated model didn't converge\n" - "- See DIDNOTCONVERGE in the Verilator manual"); - } else { - __Vchange = _change_request(vlSymsp); - } - } while (VL_UNLIKELY(__Vchange)); + Valu6___024root___eval(&(vlSymsp->TOP)); + } while (0); + // Evaluate cleanup } -void Valu6::_eval_initial_loop(Valu6__Syms* __restrict vlSymsp) { - vlSymsp->__Vm_didInit = true; - _eval_initial(vlSymsp); - vlSymsp->__Vm_activity = true; - // Evaluate till stable - int __VclockLoop = 0; - QData __Vchange = 1; - do { - _eval_settle(vlSymsp); - _eval(vlSymsp); - if (VL_UNLIKELY(++__VclockLoop > 100)) { - // About to fail, so enable debug to see what's not settling. - // Note you must run make with OPT=-DVL_DEBUG for debug prints. - int __Vsaved_debug = Verilated::debug(); - Verilated::debug(1); - __Vchange = _change_request(vlSymsp); - Verilated::debug(__Vsaved_debug); - VL_FATAL_MT("alu6.v", 6, "", - "Verilated model didn't DC converge\n" - "- See DIDNOTCONVERGE in the Verilator manual"); - } else { - __Vchange = _change_request(vlSymsp); - } - } while (VL_UNLIKELY(__Vchange)); -} +//============================================================ +// Utilities -VL_INLINE_OPT void Valu6::_combo__TOP__1(Valu6__Syms* __restrict vlSymsp) { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_combo__TOP__1\n"); ); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - vlTOPp->alu6__DOT__sum = (vlTOPp->alu_in_1 + ((1U - & (((IData)(vlTOPp->alu_op_i) - >> 3U) - | (((IData)(vlTOPp->alu_op_i) - >> 1U) - & (~ (IData)(vlTOPp->alu_op_i))))) - ? - ((IData)(1U) - + - (~ vlTOPp->alu_in_2)) - : vlTOPp->alu_in_2)); - vlTOPp->alu_output = ((0U == (7U & (IData)(vlTOPp->alu_op_i))) - ? vlTOPp->alu6__DOT__sum - : ((4U == (7U & (IData)(vlTOPp->alu_op_i))) - ? (vlTOPp->alu_in_1 - ^ vlTOPp->alu_in_2) - : ((6U == (7U & (IData)(vlTOPp->alu_op_i))) - ? (vlTOPp->alu_in_1 - | vlTOPp->alu_in_2) - : ((7U == (7U & (IData)(vlTOPp->alu_op_i))) - ? (vlTOPp->alu_in_1 - & vlTOPp->alu_in_2) - : ((1U == (7U - & (IData)(vlTOPp->alu_op_i))) - ? ((0x40U - & vlTOPp->alu_in_2) - ? 0U - : ((0x1fU - >= - (0x3fU - & vlTOPp->alu_in_2)) - ? - (vlTOPp->alu_in_1 - << - (0x3fU - & vlTOPp->alu_in_2)) - : 0U)) - : ((5U == - (7U - & (IData)(vlTOPp->alu_op_i))) - ? (( - (0x1fU - >= - (0x3fU - & vlTOPp->alu_in_2)) - ? - (vlTOPp->alu_in_1 - >> - (0x3fU - & vlTOPp->alu_in_2)) - : 0U) - | ((8U - & (IData)(vlTOPp->alu_op_i)) - ? - ((IData)(0xffffffffU) - << - ((0x80000000U - & vlTOPp->alu_in_2) - ? 0U - : - ((0x10U - & ((~ - (vlTOPp->alu_in_2 - >> 4U)) - << 4U)) - | ((8U - & ((~ - (vlTOPp->alu_in_2 - >> 3U)) - << 3U)) - | ((4U - & ((~ - (vlTOPp->alu_in_2 - >> 2U)) - << 2U)) - | ((2U - & ((~ - (vlTOPp->alu_in_2 - >> 1U)) - << 1U)) - | (1U - & (~ vlTOPp->alu_in_2)))))))) - : 0U)) - : ((2U - == - (7U - & (IData)(vlTOPp->alu_op_i))) - ? - (1U - & (vlTOPp->alu6__DOT__sum - >> 0x1fU)) - : - ((3U - == - (7U - & (IData)(vlTOPp->alu_op_i))) - ? - ((vlTOPp->alu_in_1 - < vlTOPp->alu_in_2) - ? 1U - : 0U) - : 0U)))))))); +VerilatedContext* Valu6::contextp() const { + return vlSymsp->_vm_contextp__; } -void Valu6::_eval(Valu6__Syms* __restrict vlSymsp) { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_eval\n"); ); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - vlTOPp->_combo__TOP__1(vlSymsp); +const char* Valu6::name() const { + return vlSymsp->name(); } -VL_INLINE_OPT QData Valu6::_change_request(Valu6__Syms* __restrict vlSymsp) { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_change_request\n"); ); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - return (vlTOPp->_change_request_1(vlSymsp)); +//============================================================ +// Invoke final blocks + +VL_ATTR_COLD void Valu6::final() { + Valu6___024root___final(&(vlSymsp->TOP)); } -VL_INLINE_OPT QData Valu6::_change_request_1(Valu6__Syms* __restrict vlSymsp) { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_change_request_1\n"); ); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - // Change detection - QData __req = false; // Logically a bool - return __req; +//============================================================ +// Trace configuration + +void Valu6___024root__trace_init_top(Valu6___024root* vlSelf, VerilatedVcd* tracep); + +VL_ATTR_COLD static void trace_init(void* voidSelf, VerilatedVcd* tracep, uint32_t code) { + // Callback from tracep->open() + Valu6___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf); + Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + if (!vlSymsp->_vm_contextp__->calcUnusedSigs()) { + VL_FATAL_MT(__FILE__, __LINE__, __FILE__, + "Turning on wave traces requires Verilated::traceEverOn(true) call before time 0."); + } + vlSymsp->__Vm_baseCode = code; + tracep->scopeEscape(' '); + tracep->pushNamePrefix(std::string{vlSymsp->name()} + ' '); + Valu6___024root__trace_init_top(vlSelf, tracep); + tracep->popNamePrefix(); + tracep->scopeEscape('.'); } -#ifdef VL_DEBUG -void Valu6::_eval_debug_assertions() { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_eval_debug_assertions\n"); ); - // Body - if (VL_UNLIKELY((alu_op_i & 0xf0U))) { - Verilated::overWidthError("alu_op_i");} +VL_ATTR_COLD void Valu6___024root__trace_register(Valu6___024root* vlSelf, VerilatedVcd* tracep); + +VL_ATTR_COLD void Valu6::trace(VerilatedVcdC* tfp, int levels, int options) { + if (false && levels && options) {} // Prevent unused + tfp->spTrace()->addInitCb(&trace_init, &(vlSymsp->TOP)); + Valu6___024root__trace_register(&(vlSymsp->TOP), tfp->spTrace()); } -#endif // VL_DEBUG diff --git a/verilog/alu/v6/obj_dir/Valu6.h b/verilog/alu/v6/obj_dir/Valu6.h index 9cddec9..b7d0b23 100644 --- a/verilog/alu/v6/obj_dir/Valu6.h +++ b/verilog/alu/v6/obj_dir/Valu6.h @@ -1,59 +1,56 @@ // Verilated -*- C++ -*- -// DESCRIPTION: Verilator output: Primary design header +// DESCRIPTION: Verilator output: Primary model header // // This header should be included by all source files instantiating the design. // The class here is then constructed to instantiate the design. // See the Verilator manual for examples. -#ifndef _VALU6_H_ -#define _VALU6_H_ // guard +#ifndef VERILATED_VALU6_H_ +#define VERILATED_VALU6_H_ // guard -#include "verilated_heavy.h" - -//========== +#include "verilated.h" class Valu6__Syms; -class Valu6_VerilatedVcd; - +class Valu6___024root; +class VerilatedVcdC; -//---------- +// This class is the main interface to the Verilated model +class Valu6 VL_NOT_FINAL { + private: + // Symbol table holding complete model state (owned by this class) + Valu6__Syms* const vlSymsp; -VL_MODULE(Valu6) { public: - + // PORTS // The application code writes and reads these signals to // propagate new values into/out from the Verilated model. - VL_IN8(alu_op_i,3,0); - VL_IN(alu_in_1,31,0); - VL_IN(alu_in_2,31,0); - VL_OUT(alu_output,31,0); - - // LOCAL SIGNALS - // Internals; generally not touched by application code - IData/*31:0*/ alu6__DOT__sum; - - // LOCAL VARIABLES - // Internals; generally not touched by application code - CData/*0:0*/ __Vm_traceActivity[1]; - - // INTERNAL VARIABLES - // Internals; generally not touched by application code - Valu6__Syms* __VlSymsp; // Symbol table - + VL_IN8(&alu_op_i,3,0); + VL_IN(&alu_in_1,31,0); + VL_IN(&alu_in_2,31,0); + VL_OUT(&alu_output,31,0); + + // CELLS + // Public to allow access to /* verilator public */ items. + // Otherwise the application code can consider these internals. + + // Root instance pointer to allow access to model internals, + // including inlined /* verilator public_flat_* */ items. + Valu6___024root* const rootp; + // CONSTRUCTORS - private: - VL_UNCOPYABLE(Valu6); ///< Copying not allowed - public: /// Construct the model; called by application code - /// The special name may be used to make a wrapper with a + /// If contextp is null, then the model will use the default global context + /// If name is "", then makes a wrapper with a /// single model invisible with respect to DPI scope names. - Valu6(const char* name = "TOP"); + explicit Valu6(VerilatedContext* contextp, const char* name = "TOP"); + explicit Valu6(const char* name = "TOP"); /// Destroy the model; called (often implicitly) by application code - ~Valu6(); - /// Trace signals in the model; called by application code - void trace(VerilatedVcdC* tfp, int levels, int options = 0); - + virtual ~Valu6(); + private: + VL_UNCOPYABLE(Valu6); ///< Copying not allowed + + public: // API METHODS /// Evaluate the model. Application must call when inputs change. void eval() { eval_step(); } @@ -64,39 +61,13 @@ VL_MODULE(Valu6) { void eval_end_step() {} /// Simulation complete, run final blocks. Application must call on completion. void final(); - - // INTERNAL METHODS - static void _eval_initial_loop(Valu6__Syms* __restrict vlSymsp); - void __Vconfigure(Valu6__Syms* symsp, bool first); - private: - static QData _change_request(Valu6__Syms* __restrict vlSymsp); - static QData _change_request_1(Valu6__Syms* __restrict vlSymsp); - public: - static void _combo__TOP__1(Valu6__Syms* __restrict vlSymsp); - private: - void _ctor_var_reset() VL_ATTR_COLD; - public: - static void _eval(Valu6__Syms* __restrict vlSymsp); - private: -#ifdef VL_DEBUG - void _eval_debug_assertions(); -#endif // VL_DEBUG - public: - static void _eval_initial(Valu6__Syms* __restrict vlSymsp) VL_ATTR_COLD; - static void _eval_settle(Valu6__Syms* __restrict vlSymsp) VL_ATTR_COLD; - private: - static void traceChgSub0(void* userp, VerilatedVcd* tracep); - static void traceChgTop0(void* userp, VerilatedVcd* tracep); - static void traceCleanup(void* userp, VerilatedVcd* /*unused*/); - static void traceFullSub0(void* userp, VerilatedVcd* tracep) VL_ATTR_COLD; - static void traceFullTop0(void* userp, VerilatedVcd* tracep) VL_ATTR_COLD; - static void traceInitSub0(void* userp, VerilatedVcd* tracep) VL_ATTR_COLD; - static void traceInitTop(void* userp, VerilatedVcd* tracep) VL_ATTR_COLD; - void traceRegister(VerilatedVcd* tracep) VL_ATTR_COLD; - static void traceInit(void* userp, VerilatedVcd* tracep, uint32_t code) VL_ATTR_COLD; + /// Trace signals in the model; called by application code + void trace(VerilatedVcdC* tfp, int levels, int options = 0); + /// Return current simulation context for this model. + /// Used to get to e.g. simulation time via contextp()->time() + VerilatedContext* contextp() const; + /// Retrieve name of this model instance (as passed to constructor). + const char* name() const; } VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES); -//---------- - - #endif // guard diff --git a/verilog/alu/v6/obj_dir/Valu6.mk b/verilog/alu/v6/obj_dir/Valu6.mk index d782d11..adf8ed7 100644 --- a/verilog/alu/v6/obj_dir/Valu6.mk +++ b/verilog/alu/v6/obj_dir/Valu6.mk @@ -17,6 +17,8 @@ SYSTEMC_INCLUDE ?= SYSTEMC_LIBDIR ?= ### Switches... +# C++ code coverage 0/1 (from --prof-c) +VM_PROFC = 0 # SystemC output mode? 0/1 (from --sc) VM_SC = 0 # Legacy or SystemC output mode? 0/1 (from --sc) diff --git a/verilog/alu/v6/obj_dir/Valu6__ALL.a b/verilog/alu/v6/obj_dir/Valu6__ALL.a index b05f397..22e235a 100644 Binary files a/verilog/alu/v6/obj_dir/Valu6__ALL.a and b/verilog/alu/v6/obj_dir/Valu6__ALL.a differ diff --git a/verilog/alu/v6/obj_dir/Valu6__ALL.o b/verilog/alu/v6/obj_dir/Valu6__ALL.o index 93f9ee6..d9eaf6c 100644 Binary files a/verilog/alu/v6/obj_dir/Valu6__ALL.o and b/verilog/alu/v6/obj_dir/Valu6__ALL.o differ diff --git a/verilog/alu/v6/obj_dir/Valu6__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6__Slow.cpp deleted file mode 100644 index 6cd030d..0000000 --- a/verilog/alu/v6/obj_dir/Valu6__Slow.cpp +++ /dev/null @@ -1,61 +0,0 @@ -// Verilated -*- C++ -*- -// DESCRIPTION: Verilator output: Design implementation internals -// See Valu6.h for the primary calling header - -#include "Valu6.h" -#include "Valu6__Syms.h" - -//========== - -VL_CTOR_IMP(Valu6) { - Valu6__Syms* __restrict vlSymsp = __VlSymsp = new Valu6__Syms(this, name()); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Reset internal values - - // Reset structure values - _ctor_var_reset(); -} - -void Valu6::__Vconfigure(Valu6__Syms* vlSymsp, bool first) { - if (false && first) {} // Prevent unused - this->__VlSymsp = vlSymsp; - if (false && this->__VlSymsp) {} // Prevent unused - Verilated::timeunit(-6); - Verilated::timeprecision(-9); -} - -Valu6::~Valu6() { - VL_DO_CLEAR(delete __VlSymsp, __VlSymsp = nullptr); -} - -void Valu6::_eval_initial(Valu6__Syms* __restrict vlSymsp) { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_eval_initial\n"); ); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; -} - -void Valu6::final() { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::final\n"); ); - // Variables - Valu6__Syms* __restrict vlSymsp = this->__VlSymsp; - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; -} - -void Valu6::_eval_settle(Valu6__Syms* __restrict vlSymsp) { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_eval_settle\n"); ); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - vlTOPp->_combo__TOP__1(vlSymsp); -} - -void Valu6::_ctor_var_reset() { - VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6::_ctor_var_reset\n"); ); - // Body - alu_in_1 = VL_RAND_RESET_I(32); - alu_in_2 = VL_RAND_RESET_I(32); - alu_op_i = VL_RAND_RESET_I(4); - alu_output = VL_RAND_RESET_I(32); - alu6__DOT__sum = VL_RAND_RESET_I(32); - for (int __Vi0=0; __Vi0<1; ++__Vi0) { - __Vm_traceActivity[__Vi0] = VL_RAND_RESET_I(1); - } -} diff --git a/verilog/alu/v6/obj_dir/Valu6__Syms.cpp b/verilog/alu/v6/obj_dir/Valu6__Syms.cpp index c9b82af..fe8a162 100644 --- a/verilog/alu/v6/obj_dir/Valu6__Syms.cpp +++ b/verilog/alu/v6/obj_dir/Valu6__Syms.cpp @@ -3,25 +3,24 @@ #include "Valu6__Syms.h" #include "Valu6.h" - - +#include "Valu6___024root.h" // FUNCTIONS Valu6__Syms::~Valu6__Syms() { } -Valu6__Syms::Valu6__Syms(Valu6* topp, const char* namep) - // Setup locals - : __Vm_namep(namep) - , __Vm_activity(false) - , __Vm_baseCode(0) - , __Vm_didInit(false) - // Setup submodule names +Valu6__Syms::Valu6__Syms(VerilatedContext* contextp, const char* namep,Valu6* modelp) + : VerilatedSyms{contextp} + // Setup internal state of the Syms class + , __Vm_modelp{modelp} + // Setup module instances + , TOP{this, namep} { - // Pointer to top level - TOPp = topp; + // Configure time unit / time precision + _vm_contextp__->timeunit(-6); + _vm_contextp__->timeprecision(-9); // Setup each module's pointers to their submodules // Setup each module's pointer back to symbol table (for public functions) - TOPp->__Vconfigure(this, true); + TOP.__Vconfigure(true); } diff --git a/verilog/alu/v6/obj_dir/Valu6__Syms.h b/verilog/alu/v6/obj_dir/Valu6__Syms.h index dcbc3c1..7c4690b 100644 --- a/verilog/alu/v6/obj_dir/Valu6__Syms.h +++ b/verilog/alu/v6/obj_dir/Valu6__Syms.h @@ -4,34 +4,36 @@ // Internal details; most calling programs do not need this header, // unless using verilator public meta comments. -#ifndef _VALU6__SYMS_H_ -#define _VALU6__SYMS_H_ // guard +#ifndef VERILATED_VALU6__SYMS_H_ +#define VERILATED_VALU6__SYMS_H_ // guard -#include "verilated_heavy.h" +#include "verilated.h" + +// INCLUDE MODEL CLASS -// INCLUDE MODULE CLASSES #include "Valu6.h" -// SYMS CLASS -class Valu6__Syms : public VerilatedSyms { +// INCLUDE MODULE CLASSES +#include "Valu6___024root.h" + +// SYMS CLASS (contains all model state) +class Valu6__Syms final : public VerilatedSyms { public: - - // LOCAL STATE - const char* __Vm_namep; - bool __Vm_activity; ///< Used by trace routines to determine change occurred - uint32_t __Vm_baseCode; ///< Used by trace routines when tracing multiple models - bool __Vm_didInit; - - // SUBCELL STATE - Valu6* TOPp; - - // CREATORS - Valu6__Syms(Valu6* topp, const char* namep); + // INTERNAL STATE + Valu6* const __Vm_modelp; + bool __Vm_activity = false; ///< Used by trace routines to determine change occurred + uint32_t __Vm_baseCode = 0; ///< Used by trace routines when tracing multiple models + bool __Vm_didInit = false; + + // MODULE INSTANCE STATE + Valu6___024root TOP; + + // CONSTRUCTORS + Valu6__Syms(VerilatedContext* contextp, const char* namep, Valu6* modelp); ~Valu6__Syms(); - + // METHODS - inline const char* name() { return __Vm_namep; } - + const char* name() { return TOP.name(); } } VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES); #endif // guard diff --git a/verilog/alu/v6/obj_dir/Valu6__Trace.cpp b/verilog/alu/v6/obj_dir/Valu6__Trace.cpp deleted file mode 100644 index e2e3658..0000000 --- a/verilog/alu/v6/obj_dir/Valu6__Trace.cpp +++ /dev/null @@ -1,76 +0,0 @@ -// Verilated -*- C++ -*- -// DESCRIPTION: Verilator output: Tracing implementation internals -#include "verilated_vcd_c.h" -#include "Valu6__Syms.h" - - -void Valu6::traceChgTop0(void* userp, VerilatedVcd* tracep) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Variables - if (VL_UNLIKELY(!vlSymsp->__Vm_activity)) return; - // Body - { - vlTOPp->traceChgSub0(userp, tracep); - } -} - -void Valu6::traceChgSub0(void* userp, VerilatedVcd* tracep) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - vluint32_t* const oldp = tracep->oldp(vlSymsp->__Vm_baseCode + 1); - if (false && oldp) {} // Prevent unused - // Body - { - tracep->chgIData(oldp+0,(vlTOPp->alu_in_1),32); - tracep->chgIData(oldp+1,(vlTOPp->alu_in_2),32); - tracep->chgCData(oldp+2,(vlTOPp->alu_op_i),4); - tracep->chgIData(oldp+3,(vlTOPp->alu_output),32); - tracep->chgIData(oldp+4,(((IData)(1U) + (~ vlTOPp->alu_in_2))),32); - tracep->chgIData(oldp+5,(vlTOPp->alu6__DOT__sum),32); - tracep->chgIData(oldp+6,((((0x1fU >= (0x3fU - & vlTOPp->alu_in_2)) - ? (vlTOPp->alu_in_1 - >> (0x3fU & vlTOPp->alu_in_2)) - : 0U) | ((8U & (IData)(vlTOPp->alu_op_i)) - ? ((IData)(0xffffffffU) - << - ((0x80000000U - & vlTOPp->alu_in_2) - ? 0U - : - ((0x10U - & ((~ - (vlTOPp->alu_in_2 - >> 4U)) - << 4U)) - | ((8U - & ((~ - (vlTOPp->alu_in_2 - >> 3U)) - << 3U)) - | ((4U - & ((~ - (vlTOPp->alu_in_2 - >> 2U)) - << 2U)) - | ((2U - & ((~ - (vlTOPp->alu_in_2 - >> 1U)) - << 1U)) - | (1U - & (~ vlTOPp->alu_in_2)))))))) - : 0U))),32); - } -} - -void Valu6::traceCleanup(void* userp, VerilatedVcd* /*unused*/) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - { - vlSymsp->__Vm_activity = false; - vlTOPp->__Vm_traceActivity[0U] = 0U; - } -} diff --git a/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp b/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp index b2933ac..71768c8 100644 --- a/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp +++ b/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp @@ -21,17 +21,15 @@ void Valu6___024root__trace_chg_sub_0(Valu6___024root* vlSelf, VerilatedVcd* tra Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_chg_sub_0\n"); ); // Init - vluint32_t* const oldp VL_ATTR_UNUSED = tracep->oldp(vlSymsp->__Vm_baseCode + 1); + uint32_t* const oldp VL_ATTR_UNUSED = tracep->oldp(vlSymsp->__Vm_baseCode + 1); // Body tracep->chgIData(oldp+0,(vlSelf->alu_in_1),32); tracep->chgIData(oldp+1,(vlSelf->alu_in_2),32); tracep->chgCData(oldp+2,(vlSelf->alu_op_i),4); tracep->chgIData(oldp+3,(vlSelf->alu_output),32); - tracep->chgIData(oldp+4,(vlSelf->debugsum),32); - tracep->chgCData(oldp+5,(vlSelf->debugop),4); - tracep->chgIData(oldp+6,(((IData)(1U) + (~ vlSelf->alu_in_2))),32); - tracep->chgIData(oldp+7,(vlSelf->alu6__DOT__sum),32); - tracep->chgIData(oldp+8,((((0x1fU >= (0x3fU & vlSelf->alu_in_2)) + tracep->chgIData(oldp+4,(((IData)(1U) + (~ vlSelf->alu_in_2))),32); + tracep->chgIData(oldp+5,(vlSelf->alu6__DOT__sum),32); + tracep->chgIData(oldp+6,((((0x1fU >= (0x3fU & vlSelf->alu_in_2)) ? (vlSelf->alu_in_1 >> (0x3fU & vlSelf->alu_in_2)) : 0U) | ((8U & (IData)(vlSelf->alu_op_i)) diff --git a/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp index 83ce895..e16a25e 100644 --- a/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp +++ b/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp @@ -15,18 +15,14 @@ VL_ATTR_COLD void Valu6___024root__trace_init_sub__TOP__0(Valu6___024root* vlSel tracep->declBus(c+2,"alu_in_2", false,-1, 31,0); tracep->declBus(c+3,"alu_op_i", false,-1, 3,0); tracep->declBus(c+4,"alu_output", false,-1, 31,0); - tracep->declBus(c+5,"debugsum", false,-1, 31,0); - tracep->declBus(c+6,"debugop", false,-1, 3,0); tracep->pushNamePrefix("alu6 "); tracep->declBus(c+1,"alu_in_1", false,-1, 31,0); tracep->declBus(c+2,"alu_in_2", false,-1, 31,0); tracep->declBus(c+3,"alu_op_i", false,-1, 3,0); tracep->declBus(c+4,"alu_output", false,-1, 31,0); - tracep->declBus(c+5,"debugsum", false,-1, 31,0); - tracep->declBus(c+6,"debugop", false,-1, 3,0); - tracep->declBus(c+7,"complement2", false,-1, 31,0); - tracep->declBus(c+8,"sum", false,-1, 31,0); - tracep->declBus(c+9,"right", false,-1, 31,0); + tracep->declBus(c+5,"complement2", false,-1, 31,0); + tracep->declBus(c+6,"sum", false,-1, 31,0); + tracep->declBus(c+7,"right", false,-1, 31,0); tracep->popNamePrefix(1); } @@ -68,17 +64,15 @@ VL_ATTR_COLD void Valu6___024root__trace_full_sub_0(Valu6___024root* vlSelf, Ver Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_full_sub_0\n"); ); // Init - vluint32_t* const oldp VL_ATTR_UNUSED = tracep->oldp(vlSymsp->__Vm_baseCode); + uint32_t* const oldp VL_ATTR_UNUSED = tracep->oldp(vlSymsp->__Vm_baseCode); // Body tracep->fullIData(oldp+1,(vlSelf->alu_in_1),32); tracep->fullIData(oldp+2,(vlSelf->alu_in_2),32); tracep->fullCData(oldp+3,(vlSelf->alu_op_i),4); tracep->fullIData(oldp+4,(vlSelf->alu_output),32); - tracep->fullIData(oldp+5,(vlSelf->debugsum),32); - tracep->fullCData(oldp+6,(vlSelf->debugop),4); - tracep->fullIData(oldp+7,(((IData)(1U) + (~ vlSelf->alu_in_2))),32); - tracep->fullIData(oldp+8,(vlSelf->alu6__DOT__sum),32); - tracep->fullIData(oldp+9,((((0x1fU >= (0x3fU & vlSelf->alu_in_2)) + tracep->fullIData(oldp+5,(((IData)(1U) + (~ vlSelf->alu_in_2))),32); + tracep->fullIData(oldp+6,(vlSelf->alu6__DOT__sum),32); + tracep->fullIData(oldp+7,((((0x1fU >= (0x3fU & vlSelf->alu_in_2)) ? (vlSelf->alu_in_1 >> (0x3fU & vlSelf->alu_in_2)) : 0U) | ((8U & (IData)(vlSelf->alu_op_i)) diff --git a/verilog/alu/v6/obj_dir/Valu6__Trace__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6__Trace__Slow.cpp deleted file mode 100644 index 89bdf46..0000000 --- a/verilog/alu/v6/obj_dir/Valu6__Trace__Slow.cpp +++ /dev/null @@ -1,127 +0,0 @@ -// Verilated -*- C++ -*- -// DESCRIPTION: Verilator output: Tracing implementation internals -#include "verilated_vcd_c.h" -#include "Valu6__Syms.h" - - -//====================== - -void Valu6::trace(VerilatedVcdC* tfp, int, int) { - tfp->spTrace()->addInitCb(&traceInit, __VlSymsp); - traceRegister(tfp->spTrace()); -} - -void Valu6::traceInit(void* userp, VerilatedVcd* tracep, uint32_t code) { - // Callback from tracep->open() - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - if (!Verilated::calcUnusedSigs()) { - VL_FATAL_MT(__FILE__, __LINE__, __FILE__, - "Turning on wave traces requires Verilated::traceEverOn(true) call before time 0."); - } - vlSymsp->__Vm_baseCode = code; - tracep->module(vlSymsp->name()); - tracep->scopeEscape(' '); - Valu6::traceInitTop(vlSymsp, tracep); - tracep->scopeEscape('.'); -} - -//====================== - - -void Valu6::traceInitTop(void* userp, VerilatedVcd* tracep) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - { - vlTOPp->traceInitSub0(userp, tracep); - } -} - -void Valu6::traceInitSub0(void* userp, VerilatedVcd* tracep) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - const int c = vlSymsp->__Vm_baseCode; - if (false && tracep && c) {} // Prevent unused - // Body - { - tracep->declBus(c+1,"alu_in_1", false,-1, 31,0); - tracep->declBus(c+2,"alu_in_2", false,-1, 31,0); - tracep->declBus(c+3,"alu_op_i", false,-1, 3,0); - tracep->declBus(c+4,"alu_output", false,-1, 31,0); - tracep->declBus(c+1,"alu6 alu_in_1", false,-1, 31,0); - tracep->declBus(c+2,"alu6 alu_in_2", false,-1, 31,0); - tracep->declBus(c+3,"alu6 alu_op_i", false,-1, 3,0); - tracep->declBus(c+4,"alu6 alu_output", false,-1, 31,0); - tracep->declBus(c+5,"alu6 complement2", false,-1, 31,0); - tracep->declBus(c+6,"alu6 sum", false,-1, 31,0); - tracep->declBus(c+7,"alu6 right", false,-1, 31,0); - } -} - -void Valu6::traceRegister(VerilatedVcd* tracep) { - // Body - { - tracep->addFullCb(&traceFullTop0, __VlSymsp); - tracep->addChgCb(&traceChgTop0, __VlSymsp); - tracep->addCleanupCb(&traceCleanup, __VlSymsp); - } -} - -void Valu6::traceFullTop0(void* userp, VerilatedVcd* tracep) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - // Body - { - vlTOPp->traceFullSub0(userp, tracep); - } -} - -void Valu6::traceFullSub0(void* userp, VerilatedVcd* tracep) { - Valu6__Syms* __restrict vlSymsp = static_cast(userp); - Valu6* const __restrict vlTOPp VL_ATTR_UNUSED = vlSymsp->TOPp; - vluint32_t* const oldp = tracep->oldp(vlSymsp->__Vm_baseCode); - if (false && oldp) {} // Prevent unused - // Body - { - tracep->fullIData(oldp+1,(vlTOPp->alu_in_1),32); - tracep->fullIData(oldp+2,(vlTOPp->alu_in_2),32); - tracep->fullCData(oldp+3,(vlTOPp->alu_op_i),4); - tracep->fullIData(oldp+4,(vlTOPp->alu_output),32); - tracep->fullIData(oldp+5,(((IData)(1U) + (~ vlTOPp->alu_in_2))),32); - tracep->fullIData(oldp+6,(vlTOPp->alu6__DOT__sum),32); - tracep->fullIData(oldp+7,((((0x1fU >= (0x3fU - & vlTOPp->alu_in_2)) - ? (vlTOPp->alu_in_1 - >> (0x3fU & vlTOPp->alu_in_2)) - : 0U) | ((8U & (IData)(vlTOPp->alu_op_i)) - ? ((IData)(0xffffffffU) - << - ((0x80000000U - & vlTOPp->alu_in_2) - ? 0U - : - ((0x10U - & ((~ - (vlTOPp->alu_in_2 - >> 4U)) - << 4U)) - | ((8U - & ((~ - (vlTOPp->alu_in_2 - >> 3U)) - << 3U)) - | ((4U - & ((~ - (vlTOPp->alu_in_2 - >> 2U)) - << 2U)) - | ((2U - & ((~ - (vlTOPp->alu_in_2 - >> 1U)) - << 1U)) - | (1U - & (~ vlTOPp->alu_in_2)))))))) - : 0U))),32); - } -} diff --git a/verilog/alu/v6/obj_dir/Valu6___024root.h b/verilog/alu/v6/obj_dir/Valu6___024root.h index f568bf9..968e6b6 100644 --- a/verilog/alu/v6/obj_dir/Valu6___024root.h +++ b/verilog/alu/v6/obj_dir/Valu6___024root.h @@ -13,23 +13,21 @@ VL_MODULE(Valu6___024root) { // DESIGN SPECIFIC STATE VL_IN8(alu_op_i,3,0); - VL_OUT8(debugop,3,0); VL_IN(alu_in_1,31,0); VL_IN(alu_in_2,31,0); VL_OUT(alu_output,31,0); - VL_OUT(debugsum,31,0); IData/*31:0*/ alu6__DOT__sum; // INTERNAL VARIABLES - Valu6__Syms* vlSymsp; // Symbol table + Valu6__Syms* const vlSymsp; // CONSTRUCTORS - Valu6___024root(const char* name); + Valu6___024root(Valu6__Syms* symsp, const char* name); ~Valu6___024root(); VL_UNCOPYABLE(Valu6___024root); // INTERNAL METHODS - void __Vconfigure(Valu6__Syms* symsp, bool first); + void __Vconfigure(bool first); } VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES); diff --git a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp b/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp index afd43c4..4a9f08e 100644 --- a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp +++ b/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp @@ -11,7 +11,6 @@ VL_INLINE_OPT void Valu6___024root___combo__TOP__0(Valu6___024root* vlSelf) { Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___combo__TOP__0\n"); ); // Body - vlSelf->debugop = vlSelf->alu_op_i; vlSelf->alu6__DOT__sum = (vlSelf->alu_in_1 + ((IData)( (((IData)(vlSelf->alu_op_i) >> 3U) @@ -24,7 +23,6 @@ VL_INLINE_OPT void Valu6___024root___combo__TOP__0(Valu6___024root* vlSelf) { + (~ vlSelf->alu_in_2)) : vlSelf->alu_in_2)); - vlSelf->debugsum = vlSelf->alu6__DOT__sum; vlSelf->alu_output = ((0U == (7U & (IData)(vlSelf->alu_op_i))) ? vlSelf->alu6__DOT__sum : ((4U == (7U & (IData)(vlSelf->alu_op_i))) diff --git a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp index 896483f..88991f6 100644 --- a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp +++ b/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp @@ -37,7 +37,5 @@ VL_ATTR_COLD void Valu6___024root___ctor_var_reset(Valu6___024root* vlSelf) { vlSelf->alu_in_2 = VL_RAND_RESET_I(32); vlSelf->alu_op_i = VL_RAND_RESET_I(4); vlSelf->alu_output = VL_RAND_RESET_I(32); - vlSelf->debugsum = VL_RAND_RESET_I(32); - vlSelf->debugop = VL_RAND_RESET_I(4); vlSelf->alu6__DOT__sum = VL_RAND_RESET_I(32); } diff --git a/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp index 9918041..4167b09 100644 --- a/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp +++ b/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp @@ -9,16 +9,16 @@ void Valu6___024root___ctor_var_reset(Valu6___024root* vlSelf); -Valu6___024root::Valu6___024root(const char* _vcname__) - : VerilatedModule(_vcname__) +Valu6___024root::Valu6___024root(Valu6__Syms* symsp, const char* name) + : VerilatedModule{name} + , vlSymsp{symsp} { // Reset structure values Valu6___024root___ctor_var_reset(this); } -void Valu6___024root::__Vconfigure(Valu6__Syms* _vlSymsp, bool first) { +void Valu6___024root::__Vconfigure(bool first) { if (false && first) {} // Prevent unused - this->vlSymsp = _vlSymsp; } Valu6___024root::~Valu6___024root() { diff --git a/verilog/alu/v6/obj_dir/Valu6__ver.d b/verilog/alu/v6/obj_dir/Valu6__ver.d index 38bf8e0..6294dcb 100644 --- a/verilog/alu/v6/obj_dir/Valu6__ver.d +++ b/verilog/alu/v6/obj_dir/Valu6__ver.d @@ -1 +1 @@ -obj_dir/Valu6.cpp obj_dir/Valu6.h obj_dir/Valu6.mk obj_dir/Valu6__Slow.cpp obj_dir/Valu6__Syms.cpp obj_dir/Valu6__Syms.h obj_dir/Valu6__Trace.cpp obj_dir/Valu6__Trace__Slow.cpp obj_dir/Valu6__ver.d obj_dir/Valu6_classes.mk : /usr/bin/verilator_bin /usr/bin/verilator_bin alu6.v aluOp.vh +obj_dir/Valu6.cpp obj_dir/Valu6.h obj_dir/Valu6.mk obj_dir/Valu6__Syms.cpp obj_dir/Valu6__Syms.h obj_dir/Valu6__Trace__0.cpp obj_dir/Valu6__Trace__0__Slow.cpp obj_dir/Valu6___024root.h obj_dir/Valu6___024root__DepSet_he7565067__0.cpp obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp obj_dir/Valu6___024root__Slow.cpp obj_dir/Valu6__ver.d obj_dir/Valu6_classes.mk : /usr/bin/verilator_bin /usr/bin/verilator_bin alu6.v aluOp.vh diff --git a/verilog/alu/v6/obj_dir/Valu6__verFiles.dat b/verilog/alu/v6/obj_dir/Valu6__verFiles.dat index 389cc3d..2eb12ee 100644 --- a/verilog/alu/v6/obj_dir/Valu6__verFiles.dat +++ b/verilog/alu/v6/obj_dir/Valu6__verFiles.dat @@ -1,16 +1,19 @@ # DESCRIPTION: Verilator output: Timestamp data for --skip-identical. Delete at will. C "-Wall --cc --exe --build tbalu.cpp alu6.v --trace" -S 7544824 425500 1651977992 501242168 1627086909 0 "/usr/bin/verilator_bin" -S 982 195432 1652382291 705526780 1652382291 704526776 "alu6.v" -S 369 130885 1652206009 461809710 1652206009 461809710 "aluOp.vh" -T 10458 130865 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6.cpp" -T 3600 130864 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6.h" -T 1760 130873 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6.mk" -T 1849 195436 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6__Slow.cpp" -T 617 130862 1652382292 926532034 1652382292 926532034 "obj_dir/Valu6__Syms.cpp" -T 946 130863 1652382292 926532034 1652382292 926532034 "obj_dir/Valu6__Syms.h" -T 3801 195435 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6__Trace.cpp" -T 5706 195434 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6__Trace__Slow.cpp" -T 289 130861 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6__ver.d" -T 0 0 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6__verFiles.dat" -T 1641 130872 1652382292 927532038 1652382292 927532038 "obj_dir/Valu6_classes.mk" +S 8318248 12607448 1652499360 168084664 1652156559 0 "/usr/bin/verilator_bin" +S 982 10642998 1652590884 626885564 1652590884 626885564 "alu6.v" +S 369 10643000 1652590884 626885564 1652590884 626885564 "aluOp.vh" +T 3826 10643007 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6.cpp" +T 2697 10643006 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6.h" +T 1814 10643015 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6.mk" +T 743 10643004 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Syms.cpp" +T 1082 10643005 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Syms.h" +T 4056 10643013 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Trace__0.cpp" +T 5759 10643012 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Trace__0__Slow.cpp" +T 785 10643008 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root.h" +T 7924 10643011 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root__DepSet_he7565067__0.cpp" +T 1639 10643010 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp" +T 620 10643009 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root__Slow.cpp" +T 435 10643016 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__ver.d" +T 0 0 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__verFiles.dat" +T 1743 10643014 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6_classes.mk" diff --git a/verilog/alu/v6/obj_dir/Valu6_classes.mk b/verilog/alu/v6/obj_dir/Valu6_classes.mk index e08ed68..6ef8f77 100644 --- a/verilog/alu/v6/obj_dir/Valu6_classes.mk +++ b/verilog/alu/v6/obj_dir/Valu6_classes.mk @@ -26,19 +26,21 @@ VM_TRACE_FST_WRITER_THREAD = 0 # Generated module classes, fast-path, compile with highest optimization VM_CLASSES_FAST += \ Valu6 \ + Valu6___024root__DepSet_he7565067__0 \ # Generated module classes, non-fast-path, compile with low/medium optimization VM_CLASSES_SLOW += \ - Valu6__Slow \ + Valu6___024root__Slow \ + Valu6___024root__DepSet_he7565067__0__Slow \ # Generated support classes, fast-path, compile with highest optimization VM_SUPPORT_FAST += \ - Valu6__Trace \ + Valu6__Trace__0 \ # Generated support classes, non-fast-path, compile with low/medium optimization VM_SUPPORT_SLOW += \ Valu6__Syms \ - Valu6__Trace__Slow \ + Valu6__Trace__0__Slow \ # Global classes, need linked once per executable, fast-path, compile with highest optimization VM_GLOBAL_FAST += \ diff --git a/verilog/alu/v6/obj_dir/tbalu.o b/verilog/alu/v6/obj_dir/tbalu.o index f612394..16e8370 100644 Binary files a/verilog/alu/v6/obj_dir/tbalu.o and b/verilog/alu/v6/obj_dir/tbalu.o differ diff --git a/verilog/alu/v6/obj_dir/verilated.o b/verilog/alu/v6/obj_dir/verilated.o index a226a8b..a6100ef 100644 Binary files a/verilog/alu/v6/obj_dir/verilated.o and b/verilog/alu/v6/obj_dir/verilated.o differ diff --git a/verilog/alu/v6/obj_dir/verilated_vcd_c.o b/verilog/alu/v6/obj_dir/verilated_vcd_c.o index 469c75e..a454467 100644 Binary files a/verilog/alu/v6/obj_dir/verilated_vcd_c.o and b/verilog/alu/v6/obj_dir/verilated_vcd_c.o differ diff --git a/verilog/alu/v6/out b/verilog/alu/v6/out index 0337062..162c0f0 100644 --- a/verilog/alu/v6/out +++ b/verilog/alu/v6/out @@ -1,1200 +1,400 @@ SRA: -10 >>A -10 = -1 -00000000000000000000000000000000 -1101 SRA: -10 >>A -9 = -1 -11111111111111111111111111111111 -1101 SRA: -10 >>A -8 = -1 -11111111111111111111111111111110 -1101 SRA: -10 >>A -7 = -1 -11111111111111111111111111111101 -1101 SRA: -10 >>A -6 = -1 -11111111111111111111111111111100 -1101 SRA: -10 >>A -5 = -1 -11111111111111111111111111111011 -1101 SRA: -10 >>A -4 = -1 -11111111111111111111111111111010 -1101 SRA: -10 >>A -3 = -1 -11111111111111111111111111111001 -1101 SRA: -10 >>A -2 = -1 -11111111111111111111111111111000 -1101 SRA: -10 >>A -1 = -1 -11111111111111111111111111110111 -1101 SRA: -10 >>A 0 = -10 -11111111111111111111111111110110 -1101 SRA: -10 >>A 1 = -5 -11111111111111111111111111110101 -1101 SRA: -10 >>A 2 = -3 -11111111111111111111111111110100 -1101 SRA: -10 >>A 3 = -2 -11111111111111111111111111110011 -1101 SRA: -10 >>A 4 = -1 -11111111111111111111111111110010 -1101 SRA: -10 >>A 5 = -1 -11111111111111111111111111110001 -1101 SRA: -10 >>A 6 = -1 -11111111111111111111111111110000 -1101 SRA: -10 >>A 7 = -1 -11111111111111111111111111101111 -1101 SRA: -10 >>A 8 = -1 -11111111111111111111111111101110 -1101 SRA: -10 >>A 9 = -1 -11111111111111111111111111101101 -1101 SRA: -9 >>A -10 = -1 -00000000000000000000000000000001 -1101 SRA: -9 >>A -9 = -1 -00000000000000000000000000000000 -1101 SRA: -9 >>A -8 = -1 -11111111111111111111111111111111 -1101 SRA: -9 >>A -7 = -1 -11111111111111111111111111111110 -1101 SRA: -9 >>A -6 = -1 -11111111111111111111111111111101 -1101 SRA: -9 >>A -5 = -1 -11111111111111111111111111111100 -1101 SRA: -9 >>A -4 = -1 -11111111111111111111111111111011 -1101 SRA: -9 >>A -3 = -1 -11111111111111111111111111111010 -1101 SRA: -9 >>A -2 = -1 -11111111111111111111111111111001 -1101 SRA: -9 >>A -1 = -1 -11111111111111111111111111111000 -1101 SRA: -9 >>A 0 = -9 -11111111111111111111111111110111 -1101 SRA: -9 >>A 1 = -5 -11111111111111111111111111110110 -1101 SRA: -9 >>A 2 = -3 -11111111111111111111111111110101 -1101 SRA: -9 >>A 3 = -2 -11111111111111111111111111110100 -1101 SRA: -9 >>A 4 = -1 -11111111111111111111111111110011 -1101 SRA: -9 >>A 5 = -1 -11111111111111111111111111110010 -1101 SRA: -9 >>A 6 = -1 -11111111111111111111111111110001 -1101 SRA: -9 >>A 7 = -1 -11111111111111111111111111110000 -1101 SRA: -9 >>A 8 = -1 -11111111111111111111111111101111 -1101 SRA: -9 >>A 9 = -1 -11111111111111111111111111101110 -1101 SRA: -8 >>A -10 = -1 -00000000000000000000000000000010 -1101 SRA: -8 >>A -9 = -1 -00000000000000000000000000000001 -1101 SRA: -8 >>A -8 = -1 -00000000000000000000000000000000 -1101 SRA: -8 >>A -7 = -1 -11111111111111111111111111111111 -1101 SRA: -8 >>A -6 = -1 -11111111111111111111111111111110 -1101 SRA: -8 >>A -5 = -1 -11111111111111111111111111111101 -1101 SRA: -8 >>A -4 = -1 -11111111111111111111111111111100 -1101 SRA: -8 >>A -3 = -1 -11111111111111111111111111111011 -1101 SRA: -8 >>A -2 = -1 -11111111111111111111111111111010 -1101 SRA: -8 >>A -1 = -1 -11111111111111111111111111111001 -1101 SRA: -8 >>A 0 = -8 -11111111111111111111111111111000 -1101 SRA: -8 >>A 1 = -4 -11111111111111111111111111110111 -1101 SRA: -8 >>A 2 = -2 -11111111111111111111111111110110 -1101 SRA: -8 >>A 3 = -1 -11111111111111111111111111110101 -1101 SRA: -8 >>A 4 = -1 -11111111111111111111111111110100 -1101 SRA: -8 >>A 5 = -1 -11111111111111111111111111110011 -1101 SRA: -8 >>A 6 = -1 -11111111111111111111111111110010 -1101 SRA: -8 >>A 7 = -1 -11111111111111111111111111110001 -1101 SRA: -8 >>A 8 = -1 -11111111111111111111111111110000 -1101 SRA: -8 >>A 9 = -1 -11111111111111111111111111101111 -1101 SRA: -7 >>A -10 = -1 -00000000000000000000000000000011 -1101 SRA: -7 >>A -9 = -1 -00000000000000000000000000000010 -1101 SRA: -7 >>A -8 = -1 -00000000000000000000000000000001 -1101 SRA: -7 >>A -7 = -1 -00000000000000000000000000000000 -1101 SRA: -7 >>A -6 = -1 -11111111111111111111111111111111 -1101 SRA: -7 >>A -5 = -1 -11111111111111111111111111111110 -1101 SRA: -7 >>A -4 = -1 -11111111111111111111111111111101 -1101 SRA: -7 >>A -3 = -1 -11111111111111111111111111111100 -1101 SRA: -7 >>A -2 = -1 -11111111111111111111111111111011 -1101 SRA: -7 >>A -1 = -1 -11111111111111111111111111111010 -1101 SRA: -7 >>A 0 = -7 -11111111111111111111111111111001 -1101 SRA: -7 >>A 1 = -4 -11111111111111111111111111111000 -1101 SRA: -7 >>A 2 = -2 -11111111111111111111111111110111 -1101 SRA: -7 >>A 3 = -1 -11111111111111111111111111110110 -1101 SRA: -7 >>A 4 = -1 -11111111111111111111111111110101 -1101 SRA: -7 >>A 5 = -1 -11111111111111111111111111110100 -1101 SRA: -7 >>A 6 = -1 -11111111111111111111111111110011 -1101 SRA: -7 >>A 7 = -1 -11111111111111111111111111110010 -1101 SRA: -7 >>A 8 = -1 -11111111111111111111111111110001 -1101 SRA: -7 >>A 9 = -1 -11111111111111111111111111110000 -1101 SRA: -6 >>A -10 = -1 -00000000000000000000000000000100 -1101 SRA: -6 >>A -9 = -1 -00000000000000000000000000000011 -1101 SRA: -6 >>A -8 = -1 -00000000000000000000000000000010 -1101 SRA: -6 >>A -7 = -1 -00000000000000000000000000000001 -1101 SRA: -6 >>A -6 = -1 -00000000000000000000000000000000 -1101 SRA: -6 >>A -5 = -1 -11111111111111111111111111111111 -1101 SRA: -6 >>A -4 = -1 -11111111111111111111111111111110 -1101 SRA: -6 >>A -3 = -1 -11111111111111111111111111111101 -1101 SRA: -6 >>A -2 = -1 -11111111111111111111111111111100 -1101 SRA: -6 >>A -1 = -1 -11111111111111111111111111111011 -1101 SRA: -6 >>A 0 = -6 -11111111111111111111111111111010 -1101 SRA: -6 >>A 1 = -3 -11111111111111111111111111111001 -1101 SRA: -6 >>A 2 = -2 -11111111111111111111111111111000 -1101 SRA: -6 >>A 3 = -1 -11111111111111111111111111110111 -1101 SRA: -6 >>A 4 = -1 -11111111111111111111111111110110 -1101 SRA: -6 >>A 5 = -1 -11111111111111111111111111110101 -1101 SRA: -6 >>A 6 = -1 -11111111111111111111111111110100 -1101 SRA: -6 >>A 7 = -1 -11111111111111111111111111110011 -1101 SRA: -6 >>A 8 = -1 -11111111111111111111111111110010 -1101 SRA: -6 >>A 9 = -1 -11111111111111111111111111110001 -1101 SRA: -5 >>A -10 = -1 -00000000000000000000000000000101 -1101 SRA: -5 >>A -9 = -1 -00000000000000000000000000000100 -1101 SRA: -5 >>A -8 = -1 -00000000000000000000000000000011 -1101 SRA: -5 >>A -7 = -1 -00000000000000000000000000000010 -1101 SRA: -5 >>A -6 = -1 -00000000000000000000000000000001 -1101 SRA: -5 >>A -5 = -1 -00000000000000000000000000000000 -1101 SRA: -5 >>A -4 = -1 -11111111111111111111111111111111 -1101 SRA: -5 >>A -3 = -1 -11111111111111111111111111111110 -1101 SRA: -5 >>A -2 = -1 -11111111111111111111111111111101 -1101 SRA: -5 >>A -1 = -1 -11111111111111111111111111111100 -1101 SRA: -5 >>A 0 = -5 -11111111111111111111111111111011 -1101 SRA: -5 >>A 1 = -3 -11111111111111111111111111111010 -1101 SRA: -5 >>A 2 = -2 -11111111111111111111111111111001 -1101 SRA: -5 >>A 3 = -1 -11111111111111111111111111111000 -1101 SRA: -5 >>A 4 = -1 -11111111111111111111111111110111 -1101 SRA: -5 >>A 5 = -1 -11111111111111111111111111110110 -1101 SRA: -5 >>A 6 = -1 -11111111111111111111111111110101 -1101 SRA: -5 >>A 7 = -1 -11111111111111111111111111110100 -1101 SRA: -5 >>A 8 = -1 -11111111111111111111111111110011 -1101 SRA: -5 >>A 9 = -1 -11111111111111111111111111110010 -1101 SRA: -4 >>A -10 = -1 -00000000000000000000000000000110 -1101 SRA: -4 >>A -9 = -1 -00000000000000000000000000000101 -1101 SRA: -4 >>A -8 = -1 -00000000000000000000000000000100 -1101 SRA: -4 >>A -7 = -1 -00000000000000000000000000000011 -1101 SRA: -4 >>A -6 = -1 -00000000000000000000000000000010 -1101 SRA: -4 >>A -5 = -1 -00000000000000000000000000000001 -1101 SRA: -4 >>A -4 = -1 -00000000000000000000000000000000 -1101 SRA: -4 >>A -3 = -1 -11111111111111111111111111111111 -1101 SRA: -4 >>A -2 = -1 -11111111111111111111111111111110 -1101 SRA: -4 >>A -1 = -1 -11111111111111111111111111111101 -1101 SRA: -4 >>A 0 = -4 -11111111111111111111111111111100 -1101 SRA: -4 >>A 1 = -2 -11111111111111111111111111111011 -1101 SRA: -4 >>A 2 = -1 -11111111111111111111111111111010 -1101 SRA: -4 >>A 3 = -1 -11111111111111111111111111111001 -1101 SRA: -4 >>A 4 = -1 -11111111111111111111111111111000 -1101 SRA: -4 >>A 5 = -1 -11111111111111111111111111110111 -1101 SRA: -4 >>A 6 = -1 -11111111111111111111111111110110 -1101 SRA: -4 >>A 7 = -1 -11111111111111111111111111110101 -1101 SRA: -4 >>A 8 = -1 -11111111111111111111111111110100 -1101 SRA: -4 >>A 9 = -1 -11111111111111111111111111110011 -1101 SRA: -3 >>A -10 = -1 -00000000000000000000000000000111 -1101 SRA: -3 >>A -9 = -1 -00000000000000000000000000000110 -1101 SRA: -3 >>A -8 = -1 -00000000000000000000000000000101 -1101 SRA: -3 >>A -7 = -1 -00000000000000000000000000000100 -1101 SRA: -3 >>A -6 = -1 -00000000000000000000000000000011 -1101 SRA: -3 >>A -5 = -1 -00000000000000000000000000000010 -1101 SRA: -3 >>A -4 = -1 -00000000000000000000000000000001 -1101 SRA: -3 >>A -3 = -1 -00000000000000000000000000000000 -1101 SRA: -3 >>A -2 = -1 -11111111111111111111111111111111 -1101 SRA: -3 >>A -1 = -1 -11111111111111111111111111111110 -1101 SRA: -3 >>A 0 = -3 -11111111111111111111111111111101 -1101 SRA: -3 >>A 1 = -2 -11111111111111111111111111111100 -1101 SRA: -3 >>A 2 = -1 -11111111111111111111111111111011 -1101 SRA: -3 >>A 3 = -1 -11111111111111111111111111111010 -1101 SRA: -3 >>A 4 = -1 -11111111111111111111111111111001 -1101 SRA: -3 >>A 5 = -1 -11111111111111111111111111111000 -1101 SRA: -3 >>A 6 = -1 -11111111111111111111111111110111 -1101 SRA: -3 >>A 7 = -1 -11111111111111111111111111110110 -1101 SRA: -3 >>A 8 = -1 -11111111111111111111111111110101 -1101 SRA: -3 >>A 9 = -1 -11111111111111111111111111110100 -1101 SRA: -2 >>A -10 = -1 -00000000000000000000000000001000 -1101 SRA: -2 >>A -9 = -1 -00000000000000000000000000000111 -1101 SRA: -2 >>A -8 = -1 -00000000000000000000000000000110 -1101 SRA: -2 >>A -7 = -1 -00000000000000000000000000000101 -1101 SRA: -2 >>A -6 = -1 -00000000000000000000000000000100 -1101 SRA: -2 >>A -5 = -1 -00000000000000000000000000000011 -1101 SRA: -2 >>A -4 = -1 -00000000000000000000000000000010 -1101 SRA: -2 >>A -3 = -1 -00000000000000000000000000000001 -1101 SRA: -2 >>A -2 = -1 -00000000000000000000000000000000 -1101 SRA: -2 >>A -1 = -1 -11111111111111111111111111111111 -1101 SRA: -2 >>A 0 = -2 -11111111111111111111111111111110 -1101 SRA: -2 >>A 1 = -1 -11111111111111111111111111111101 -1101 SRA: -2 >>A 2 = -1 -11111111111111111111111111111100 -1101 SRA: -2 >>A 3 = -1 -11111111111111111111111111111011 -1101 SRA: -2 >>A 4 = -1 -11111111111111111111111111111010 -1101 SRA: -2 >>A 5 = -1 -11111111111111111111111111111001 -1101 SRA: -2 >>A 6 = -1 -11111111111111111111111111111000 -1101 SRA: -2 >>A 7 = -1 -11111111111111111111111111110111 -1101 SRA: -2 >>A 8 = -1 -11111111111111111111111111110110 -1101 SRA: -2 >>A 9 = -1 -11111111111111111111111111110101 -1101 SRA: -1 >>A -10 = -1 -00000000000000000000000000001001 -1101 SRA: -1 >>A -9 = -1 -00000000000000000000000000001000 -1101 SRA: -1 >>A -8 = -1 -00000000000000000000000000000111 -1101 SRA: -1 >>A -7 = -1 -00000000000000000000000000000110 -1101 SRA: -1 >>A -6 = -1 -00000000000000000000000000000101 -1101 SRA: -1 >>A -5 = -1 -00000000000000000000000000000100 -1101 SRA: -1 >>A -4 = -1 -00000000000000000000000000000011 -1101 SRA: -1 >>A -3 = -1 -00000000000000000000000000000010 -1101 SRA: -1 >>A -2 = -1 -00000000000000000000000000000001 -1101 SRA: -1 >>A -1 = -1 -00000000000000000000000000000000 -1101 SRA: -1 >>A 0 = -1 -11111111111111111111111111111111 -1101 SRA: -1 >>A 1 = -1 -11111111111111111111111111111110 -1101 SRA: -1 >>A 2 = -1 -11111111111111111111111111111101 -1101 SRA: -1 >>A 3 = -1 -11111111111111111111111111111100 -1101 SRA: -1 >>A 4 = -1 -11111111111111111111111111111011 -1101 SRA: -1 >>A 5 = -1 -11111111111111111111111111111010 -1101 SRA: -1 >>A 6 = -1 -11111111111111111111111111111001 -1101 SRA: -1 >>A 7 = -1 -11111111111111111111111111111000 -1101 SRA: -1 >>A 8 = -1 -11111111111111111111111111110111 -1101 SRA: -1 >>A 9 = -1 -11111111111111111111111111110110 -1101 SRA: 0 >>A -10 = -1 -00000000000000000000000000001010 -1101 SRA: 0 >>A -9 = -1 -00000000000000000000000000001001 -1101 SRA: 0 >>A -8 = -1 -00000000000000000000000000001000 -1101 SRA: 0 >>A -7 = -1 -00000000000000000000000000000111 -1101 SRA: 0 >>A -6 = -1 -00000000000000000000000000000110 -1101 SRA: 0 >>A -5 = -1 -00000000000000000000000000000101 -1101 SRA: 0 >>A -4 = -1 -00000000000000000000000000000100 -1101 SRA: 0 >>A -3 = -1 -00000000000000000000000000000011 -1101 SRA: 0 >>A -2 = -1 -00000000000000000000000000000010 -1101 SRA: 0 >>A -1 = -1 -00000000000000000000000000000001 -1101 SRA: 0 >>A 0 = -2147483648 -00000000000000000000000000000000 -1101 SRA: 0 >>A 1 = -1073741824 -11111111111111111111111111111111 -1101 SRA: 0 >>A 2 = -536870912 -11111111111111111111111111111110 -1101 SRA: 0 >>A 3 = -268435456 -11111111111111111111111111111101 -1101 SRA: 0 >>A 4 = -134217728 -11111111111111111111111111111100 -1101 SRA: 0 >>A 5 = -67108864 -11111111111111111111111111111011 -1101 SRA: 0 >>A 6 = -33554432 -11111111111111111111111111111010 -1101 SRA: 0 >>A 7 = -16777216 -11111111111111111111111111111001 -1101 SRA: 0 >>A 8 = -8388608 -11111111111111111111111111111000 -1101 SRA: 0 >>A 9 = -4194304 -11111111111111111111111111110111 -1101 SRA: 1 >>A -10 = -1 -00000000000000000000000000001011 -1101 SRA: 1 >>A -9 = -1 -00000000000000000000000000001010 -1101 SRA: 1 >>A -8 = -1 -00000000000000000000000000001001 -1101 SRA: 1 >>A -7 = -1 -00000000000000000000000000001000 -1101 SRA: 1 >>A -6 = -1 -00000000000000000000000000000111 -1101 SRA: 1 >>A -5 = -1 -00000000000000000000000000000110 -1101 SRA: 1 >>A -4 = -1 -00000000000000000000000000000101 -1101 SRA: 1 >>A -3 = -1 -00000000000000000000000000000100 -1101 SRA: 1 >>A -2 = -1 -00000000000000000000000000000011 -1101 SRA: 1 >>A -1 = -1 -00000000000000000000000000000010 -1101 SRA: 1 >>A 0 = -2147483647 -00000000000000000000000000000001 -1101 SRA: 1 >>A 1 = -1073741824 -00000000000000000000000000000000 -1101 SRA: 1 >>A 2 = -536870912 -11111111111111111111111111111111 -1101 SRA: 1 >>A 3 = -268435456 -11111111111111111111111111111110 -1101 SRA: 1 >>A 4 = -134217728 -11111111111111111111111111111101 -1101 SRA: 1 >>A 5 = -67108864 -11111111111111111111111111111100 -1101 SRA: 1 >>A 6 = -33554432 -11111111111111111111111111111011 -1101 SRA: 1 >>A 7 = -16777216 -11111111111111111111111111111010 -1101 SRA: 1 >>A 8 = -8388608 -11111111111111111111111111111001 -1101 SRA: 1 >>A 9 = -4194304 -11111111111111111111111111111000 -1101 SRA: 2 >>A -10 = -1 -00000000000000000000000000001100 -1101 SRA: 2 >>A -9 = -1 -00000000000000000000000000001011 -1101 SRA: 2 >>A -8 = -1 -00000000000000000000000000001010 -1101 SRA: 2 >>A -7 = -1 -00000000000000000000000000001001 -1101 SRA: 2 >>A -6 = -1 -00000000000000000000000000001000 -1101 SRA: 2 >>A -5 = -1 -00000000000000000000000000000111 -1101 SRA: 2 >>A -4 = -1 -00000000000000000000000000000110 -1101 SRA: 2 >>A -3 = -1 -00000000000000000000000000000101 -1101 SRA: 2 >>A -2 = -1 -00000000000000000000000000000100 -1101 SRA: 2 >>A -1 = -1 -00000000000000000000000000000011 -1101 SRA: 2 >>A 0 = -2147483646 -00000000000000000000000000000010 -1101 SRA: 2 >>A 1 = -1073741823 -00000000000000000000000000000001 -1101 SRA: 2 >>A 2 = -536870912 -00000000000000000000000000000000 -1101 SRA: 2 >>A 3 = -268435456 -11111111111111111111111111111111 -1101 SRA: 2 >>A 4 = -134217728 -11111111111111111111111111111110 -1101 SRA: 2 >>A 5 = -67108864 -11111111111111111111111111111101 -1101 SRA: 2 >>A 6 = -33554432 -11111111111111111111111111111100 -1101 SRA: 2 >>A 7 = -16777216 -11111111111111111111111111111011 -1101 SRA: 2 >>A 8 = -8388608 -11111111111111111111111111111010 -1101 SRA: 2 >>A 9 = -4194304 -11111111111111111111111111111001 -1101 SRA: 3 >>A -10 = -1 -00000000000000000000000000001101 -1101 SRA: 3 >>A -9 = -1 -00000000000000000000000000001100 -1101 SRA: 3 >>A -8 = -1 -00000000000000000000000000001011 -1101 SRA: 3 >>A -7 = -1 -00000000000000000000000000001010 -1101 SRA: 3 >>A -6 = -1 -00000000000000000000000000001001 -1101 SRA: 3 >>A -5 = -1 -00000000000000000000000000001000 -1101 SRA: 3 >>A -4 = -1 -00000000000000000000000000000111 -1101 SRA: 3 >>A -3 = -1 -00000000000000000000000000000110 -1101 SRA: 3 >>A -2 = -1 -00000000000000000000000000000101 -1101 SRA: 3 >>A -1 = -1 -00000000000000000000000000000100 -1101 SRA: 3 >>A 0 = -2147483645 -00000000000000000000000000000011 -1101 SRA: 3 >>A 1 = -1073741823 -00000000000000000000000000000010 -1101 SRA: 3 >>A 2 = -536870912 -00000000000000000000000000000001 -1101 SRA: 3 >>A 3 = -268435456 -00000000000000000000000000000000 -1101 SRA: 3 >>A 4 = -134217728 -11111111111111111111111111111111 -1101 SRA: 3 >>A 5 = -67108864 -11111111111111111111111111111110 -1101 SRA: 3 >>A 6 = -33554432 -11111111111111111111111111111101 -1101 SRA: 3 >>A 7 = -16777216 -11111111111111111111111111111100 -1101 SRA: 3 >>A 8 = -8388608 -11111111111111111111111111111011 -1101 SRA: 3 >>A 9 = -4194304 -11111111111111111111111111111010 -1101 SRA: 4 >>A -10 = -1 -00000000000000000000000000001110 -1101 SRA: 4 >>A -9 = -1 -00000000000000000000000000001101 -1101 SRA: 4 >>A -8 = -1 -00000000000000000000000000001100 -1101 SRA: 4 >>A -7 = -1 -00000000000000000000000000001011 -1101 SRA: 4 >>A -6 = -1 -00000000000000000000000000001010 -1101 SRA: 4 >>A -5 = -1 -00000000000000000000000000001001 -1101 SRA: 4 >>A -4 = -1 -00000000000000000000000000001000 -1101 SRA: 4 >>A -3 = -1 -00000000000000000000000000000111 -1101 SRA: 4 >>A -2 = -1 -00000000000000000000000000000110 -1101 SRA: 4 >>A -1 = -1 -00000000000000000000000000000101 -1101 SRA: 4 >>A 0 = -2147483644 -00000000000000000000000000000100 -1101 SRA: 4 >>A 1 = -1073741822 -00000000000000000000000000000011 -1101 SRA: 4 >>A 2 = -536870911 -00000000000000000000000000000010 -1101 SRA: 4 >>A 3 = -268435456 -00000000000000000000000000000001 -1101 SRA: 4 >>A 4 = -134217728 -00000000000000000000000000000000 -1101 SRA: 4 >>A 5 = -67108864 -11111111111111111111111111111111 -1101 SRA: 4 >>A 6 = -33554432 -11111111111111111111111111111110 -1101 SRA: 4 >>A 7 = -16777216 -11111111111111111111111111111101 -1101 SRA: 4 >>A 8 = -8388608 -11111111111111111111111111111100 -1101 SRA: 4 >>A 9 = -4194304 -11111111111111111111111111111011 -1101 SRA: 5 >>A -10 = -1 -00000000000000000000000000001111 -1101 SRA: 5 >>A -9 = -1 -00000000000000000000000000001110 -1101 SRA: 5 >>A -8 = -1 -00000000000000000000000000001101 -1101 SRA: 5 >>A -7 = -1 -00000000000000000000000000001100 -1101 SRA: 5 >>A -6 = -1 -00000000000000000000000000001011 -1101 SRA: 5 >>A -5 = -1 -00000000000000000000000000001010 -1101 SRA: 5 >>A -4 = -1 -00000000000000000000000000001001 -1101 SRA: 5 >>A -3 = -1 -00000000000000000000000000001000 -1101 SRA: 5 >>A -2 = -1 -00000000000000000000000000000111 -1101 SRA: 5 >>A -1 = -1 -00000000000000000000000000000110 -1101 SRA: 5 >>A 0 = -2147483643 -00000000000000000000000000000101 -1101 SRA: 5 >>A 1 = -1073741822 -00000000000000000000000000000100 -1101 SRA: 5 >>A 2 = -536870911 -00000000000000000000000000000011 -1101 SRA: 5 >>A 3 = -268435456 -00000000000000000000000000000010 -1101 SRA: 5 >>A 4 = -134217728 -00000000000000000000000000000001 -1101 SRA: 5 >>A 5 = -67108864 -00000000000000000000000000000000 -1101 SRA: 5 >>A 6 = -33554432 -11111111111111111111111111111111 -1101 SRA: 5 >>A 7 = -16777216 -11111111111111111111111111111110 -1101 SRA: 5 >>A 8 = -8388608 -11111111111111111111111111111101 -1101 SRA: 5 >>A 9 = -4194304 -11111111111111111111111111111100 -1101 SRA: 6 >>A -10 = -1 -00000000000000000000000000010000 -1101 SRA: 6 >>A -9 = -1 -00000000000000000000000000001111 -1101 SRA: 6 >>A -8 = -1 -00000000000000000000000000001110 -1101 SRA: 6 >>A -7 = -1 -00000000000000000000000000001101 -1101 SRA: 6 >>A -6 = -1 -00000000000000000000000000001100 -1101 SRA: 6 >>A -5 = -1 -00000000000000000000000000001011 -1101 SRA: 6 >>A -4 = -1 -00000000000000000000000000001010 -1101 SRA: 6 >>A -3 = -1 -00000000000000000000000000001001 -1101 SRA: 6 >>A -2 = -1 -00000000000000000000000000001000 -1101 SRA: 6 >>A -1 = -1 -00000000000000000000000000000111 -1101 SRA: 6 >>A 0 = -2147483642 -00000000000000000000000000000110 -1101 SRA: 6 >>A 1 = -1073741821 -00000000000000000000000000000101 -1101 SRA: 6 >>A 2 = -536870911 -00000000000000000000000000000100 -1101 SRA: 6 >>A 3 = -268435456 -00000000000000000000000000000011 -1101 SRA: 6 >>A 4 = -134217728 -00000000000000000000000000000010 -1101 SRA: 6 >>A 5 = -67108864 -00000000000000000000000000000001 -1101 SRA: 6 >>A 6 = -33554432 -00000000000000000000000000000000 -1101 SRA: 6 >>A 7 = -16777216 -11111111111111111111111111111111 -1101 SRA: 6 >>A 8 = -8388608 -11111111111111111111111111111110 -1101 SRA: 6 >>A 9 = -4194304 -11111111111111111111111111111101 -1101 SRA: 7 >>A -10 = -1 -00000000000000000000000000010001 -1101 SRA: 7 >>A -9 = -1 -00000000000000000000000000010000 -1101 SRA: 7 >>A -8 = -1 -00000000000000000000000000001111 -1101 SRA: 7 >>A -7 = -1 -00000000000000000000000000001110 -1101 SRA: 7 >>A -6 = -1 -00000000000000000000000000001101 -1101 SRA: 7 >>A -5 = -1 -00000000000000000000000000001100 -1101 SRA: 7 >>A -4 = -1 -00000000000000000000000000001011 -1101 SRA: 7 >>A -3 = -1 -00000000000000000000000000001010 -1101 SRA: 7 >>A -2 = -1 -00000000000000000000000000001001 -1101 SRA: 7 >>A -1 = -1 -00000000000000000000000000001000 -1101 SRA: 7 >>A 0 = -2147483641 -00000000000000000000000000000111 -1101 SRA: 7 >>A 1 = -1073741821 -00000000000000000000000000000110 -1101 SRA: 7 >>A 2 = -536870911 -00000000000000000000000000000101 -1101 SRA: 7 >>A 3 = -268435456 -00000000000000000000000000000100 -1101 SRA: 7 >>A 4 = -134217728 -00000000000000000000000000000011 -1101 SRA: 7 >>A 5 = -67108864 -00000000000000000000000000000010 -1101 SRA: 7 >>A 6 = -33554432 -00000000000000000000000000000001 -1101 SRA: 7 >>A 7 = -16777216 -00000000000000000000000000000000 -1101 SRA: 7 >>A 8 = -8388608 -11111111111111111111111111111111 -1101 SRA: 7 >>A 9 = -4194304 -11111111111111111111111111111110 -1101 SRA: 8 >>A -10 = -1 -00000000000000000000000000010010 -1101 SRA: 8 >>A -9 = -1 -00000000000000000000000000010001 -1101 SRA: 8 >>A -8 = -1 -00000000000000000000000000010000 -1101 SRA: 8 >>A -7 = -1 -00000000000000000000000000001111 -1101 SRA: 8 >>A -6 = -1 -00000000000000000000000000001110 -1101 SRA: 8 >>A -5 = -1 -00000000000000000000000000001101 -1101 SRA: 8 >>A -4 = -1 -00000000000000000000000000001100 -1101 SRA: 8 >>A -3 = -1 -00000000000000000000000000001011 -1101 SRA: 8 >>A -2 = -1 -00000000000000000000000000001010 -1101 SRA: 8 >>A -1 = -1 -00000000000000000000000000001001 -1101 SRA: 8 >>A 0 = -2147483640 -00000000000000000000000000001000 -1101 SRA: 8 >>A 1 = -1073741820 -00000000000000000000000000000111 -1101 SRA: 8 >>A 2 = -536870910 -00000000000000000000000000000110 -1101 SRA: 8 >>A 3 = -268435455 -00000000000000000000000000000101 -1101 SRA: 8 >>A 4 = -134217728 -00000000000000000000000000000100 -1101 SRA: 8 >>A 5 = -67108864 -00000000000000000000000000000011 -1101 SRA: 8 >>A 6 = -33554432 -00000000000000000000000000000010 -1101 SRA: 8 >>A 7 = -16777216 -00000000000000000000000000000001 -1101 SRA: 8 >>A 8 = -8388608 -00000000000000000000000000000000 -1101 SRA: 8 >>A 9 = -4194304 -11111111111111111111111111111111 -1101 SRA: 9 >>A -10 = -1 -00000000000000000000000000010011 -1101 SRA: 9 >>A -9 = -1 -00000000000000000000000000010010 -1101 SRA: 9 >>A -8 = -1 -00000000000000000000000000010001 -1101 SRA: 9 >>A -7 = -1 -00000000000000000000000000010000 -1101 SRA: 9 >>A -6 = -1 -00000000000000000000000000001111 -1101 SRA: 9 >>A -5 = -1 -00000000000000000000000000001110 -1101 SRA: 9 >>A -4 = -1 -00000000000000000000000000001101 -1101 SRA: 9 >>A -3 = -1 -00000000000000000000000000001100 -1101 SRA: 9 >>A -2 = -1 -00000000000000000000000000001011 -1101 SRA: 9 >>A -1 = -1 -00000000000000000000000000001010 -1101 SRA: 9 >>A 0 = -2147483639 -00000000000000000000000000001001 -1101 SRA: 9 >>A 1 = -1073741820 -00000000000000000000000000001000 -1101 SRA: 9 >>A 2 = -536870910 -00000000000000000000000000000111 -1101 SRA: 9 >>A 3 = -268435455 -00000000000000000000000000000110 -1101 SRA: 9 >>A 4 = -134217728 -00000000000000000000000000000101 -1101 SRA: 9 >>A 5 = -67108864 -00000000000000000000000000000100 -1101 SRA: 9 >>A 6 = -33554432 -00000000000000000000000000000011 -1101 SRA: 9 >>A 7 = -16777216 -00000000000000000000000000000010 -1101 SRA: 9 >>A 8 = -8388608 -00000000000000000000000000000001 -1101 SRA: 9 >>A 9 = -4194304 -00000000000000000000000000000000 -1101 diff --git a/verilog/alu/v6/waveform.vcd b/verilog/alu/v6/waveform.vcd index 045e968..38c5080 100644 --- a/verilog/alu/v6/waveform.vcd +++ b/verilog/alu/v6/waveform.vcd @@ -1,5 +1,5 @@ $version Generated by VerilatedVcd $end -$date Sun Apr 24 19:01:39 2022 $end +$date Sun May 15 01:02:15 2022 $end $timescale 1ns $end $scope module TOP $end @@ -7,18 +7,14 @@ $timescale 1ns $end $var wire 32 $ alu_in_2 [31:0] $end $var wire 4 % alu_op_i [3:0] $end $var wire 32 & alu_output [31:0] $end - $var wire 4 ( debugop [3:0] $end - $var wire 32 ' debugsum [31:0] $end $scope module alu6 $end $var wire 32 # alu_in_1 [31:0] $end $var wire 32 $ alu_in_2 [31:0] $end $var wire 4 % alu_op_i [3:0] $end $var wire 32 & alu_output [31:0] $end - $var wire 32 ) complement2 [31:0] $end - $var wire 4 ( debugop [3:0] $end - $var wire 32 ' debugsum [31:0] $end - $var wire 32 + right [31:0] $end - $var wire 32 * sum [31:0] $end + $var wire 32 ' complement2 [31:0] $end + $var wire 32 ) right [31:0] $end + $var wire 32 ( sum [31:0] $end $upscope $end $upscope $end $enddefinitions $end @@ -29,2308 +25,1907 @@ b11111111111111111111111111110110 # b11111111111111111111111111110110 $ b1101 % b11111111111111111111111111111111 & -b00000000000000000000000000000000 ' -b1101 ( -b00000000000000000000000000001010 ) -b00000000000000000000000000000000 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000000000 ( +b11111111111111111111111111111111 ) #2 b11111111111111111111111111110111 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000001001 ) -b11111111111111111111111111111111 * +b00000000000000000000000000001001 ' +b11111111111111111111111111111111 ( #3 b11111111111111111111111111111000 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000001000 ) -b11111111111111111111111111111110 * +b00000000000000000000000000001000 ' +b11111111111111111111111111111110 ( #4 b11111111111111111111111111111001 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000111 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000111 ' +b11111111111111111111111111111101 ( #5 b11111111111111111111111111111010 $ -b11111111111111111111111111111100 ' -b00000000000000000000000000000110 ) -b11111111111111111111111111111100 * +b00000000000000000000000000000110 ' +b11111111111111111111111111111100 ( #6 b11111111111111111111111111111011 $ -b11111111111111111111111111111011 ' -b00000000000000000000000000000101 ) -b11111111111111111111111111111011 * +b00000000000000000000000000000101 ' +b11111111111111111111111111111011 ( #7 b11111111111111111111111111111100 $ -b11111111111111111111111111111010 ' -b00000000000000000000000000000100 ) -b11111111111111111111111111111010 * +b00000000000000000000000000000100 ' +b11111111111111111111111111111010 ( #8 b11111111111111111111111111111101 $ -b11111111111111111111111111111001 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111001 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111001 ( #9 b11111111111111111111111111111110 $ -b11111111111111111111111111111000 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111000 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111000 ( #10 b11111111111111111111111111111111 $ -b11111111111111111111111111110111 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111110111 * +b00000000000000000000000000000001 ' +b11111111111111111111111111110111 ( #11 b00000000000000000000000000000000 $ b11111111111111111111111111110110 & -b11111111111111111111111111110110 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111110110 * -b11111111111111111111111111110110 + +b00000000000000000000000000000000 ' +b11111111111111111111111111110110 ( +b11111111111111111111111111110110 ) #12 b00000000000000000000000000000001 $ b11111111111111111111111111111011 & -b11111111111111111111111111110101 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111110101 * -b11111111111111111111111111111011 + +b11111111111111111111111111111111 ' +b11111111111111111111111111110101 ( +b11111111111111111111111111111011 ) #13 b00000000000000000000000000000010 $ b11111111111111111111111111111101 & -b11111111111111111111111111110100 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111110100 * -b11111111111111111111111111111101 + +b11111111111111111111111111111110 ' +b11111111111111111111111111110100 ( +b11111111111111111111111111111101 ) #14 b00000000000000000000000000000011 $ b11111111111111111111111111111110 & -b11111111111111111111111111110011 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111110011 * -b11111111111111111111111111111110 + +b11111111111111111111111111111101 ' +b11111111111111111111111111110011 ( +b11111111111111111111111111111110 ) #15 b00000000000000000000000000000100 $ b11111111111111111111111111111111 & -b11111111111111111111111111110010 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111110010 * -b11111111111111111111111111111111 + +b11111111111111111111111111111100 ' +b11111111111111111111111111110010 ( +b11111111111111111111111111111111 ) #16 b00000000000000000000000000000101 $ -b11111111111111111111111111110001 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110001 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110001 ( #17 b00000000000000000000000000000110 $ -b11111111111111111111111111110000 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110000 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110000 ( #18 b00000000000000000000000000000111 $ -b11111111111111111111111111101111 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111101111 * +b11111111111111111111111111111001 ' +b11111111111111111111111111101111 ( #19 b00000000000000000000000000001000 $ -b11111111111111111111111111101110 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111101110 * +b11111111111111111111111111111000 ' +b11111111111111111111111111101110 ( #20 b00000000000000000000000000001001 $ -b11111111111111111111111111101101 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111101101 * +b11111111111111111111111111110111 ' +b11111111111111111111111111101101 ( #21 b11111111111111111111111111110111 # b11111111111111111111111111110110 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000001 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000001 ( #22 b11111111111111111111111111110111 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000000 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000000 ( #23 b11111111111111111111111111111000 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000001000 ) -b11111111111111111111111111111111 * +b00000000000000000000000000001000 ' +b11111111111111111111111111111111 ( #24 b11111111111111111111111111111001 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000111 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000111 ' +b11111111111111111111111111111110 ( #25 b11111111111111111111111111111010 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000110 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000110 ' +b11111111111111111111111111111101 ( #26 b11111111111111111111111111111011 $ -b11111111111111111111111111111100 ' -b00000000000000000000000000000101 ) -b11111111111111111111111111111100 * +b00000000000000000000000000000101 ' +b11111111111111111111111111111100 ( #27 b11111111111111111111111111111100 $ -b11111111111111111111111111111011 ' -b00000000000000000000000000000100 ) -b11111111111111111111111111111011 * +b00000000000000000000000000000100 ' +b11111111111111111111111111111011 ( #28 b11111111111111111111111111111101 $ -b11111111111111111111111111111010 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111010 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111010 ( #29 b11111111111111111111111111111110 $ -b11111111111111111111111111111001 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111001 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111001 ( #30 b11111111111111111111111111111111 $ -b11111111111111111111111111111000 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111000 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111000 ( #31 b00000000000000000000000000000000 $ b11111111111111111111111111110111 & -b11111111111111111111111111110111 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111110111 * -b11111111111111111111111111110111 + +b00000000000000000000000000000000 ' +b11111111111111111111111111110111 ( +b11111111111111111111111111110111 ) #32 b00000000000000000000000000000001 $ b11111111111111111111111111111011 & -b11111111111111111111111111110110 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111110110 * -b11111111111111111111111111111011 + +b11111111111111111111111111111111 ' +b11111111111111111111111111110110 ( +b11111111111111111111111111111011 ) #33 b00000000000000000000000000000010 $ b11111111111111111111111111111101 & -b11111111111111111111111111110101 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111110101 * -b11111111111111111111111111111101 + +b11111111111111111111111111111110 ' +b11111111111111111111111111110101 ( +b11111111111111111111111111111101 ) #34 b00000000000000000000000000000011 $ b11111111111111111111111111111110 & -b11111111111111111111111111110100 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111110100 * -b11111111111111111111111111111110 + +b11111111111111111111111111111101 ' +b11111111111111111111111111110100 ( +b11111111111111111111111111111110 ) #35 b00000000000000000000000000000100 $ b11111111111111111111111111111111 & -b11111111111111111111111111110011 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111110011 * -b11111111111111111111111111111111 + +b11111111111111111111111111111100 ' +b11111111111111111111111111110011 ( +b11111111111111111111111111111111 ) #36 b00000000000000000000000000000101 $ -b11111111111111111111111111110010 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110010 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110010 ( #37 b00000000000000000000000000000110 $ -b11111111111111111111111111110001 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110001 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110001 ( #38 b00000000000000000000000000000111 $ -b11111111111111111111111111110000 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110000 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110000 ( #39 b00000000000000000000000000001000 $ -b11111111111111111111111111101111 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111101111 * +b11111111111111111111111111111000 ' +b11111111111111111111111111101111 ( #40 b00000000000000000000000000001001 $ -b11111111111111111111111111101110 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111101110 * +b11111111111111111111111111110111 ' +b11111111111111111111111111101110 ( #41 b11111111111111111111111111111000 # b11111111111111111111111111110110 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000010 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000010 ( #42 b11111111111111111111111111110111 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000001 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000001 ( #43 b11111111111111111111111111111000 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000000 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000000 ( #44 b11111111111111111111111111111001 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000111 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000111 ' +b11111111111111111111111111111111 ( #45 b11111111111111111111111111111010 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000110 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000110 ' +b11111111111111111111111111111110 ( #46 b11111111111111111111111111111011 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000101 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000101 ' +b11111111111111111111111111111101 ( #47 b11111111111111111111111111111100 $ -b11111111111111111111111111111100 ' -b00000000000000000000000000000100 ) -b11111111111111111111111111111100 * +b00000000000000000000000000000100 ' +b11111111111111111111111111111100 ( #48 b11111111111111111111111111111101 $ -b11111111111111111111111111111011 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111011 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111011 ( #49 b11111111111111111111111111111110 $ -b11111111111111111111111111111010 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111010 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111010 ( #50 b11111111111111111111111111111111 $ -b11111111111111111111111111111001 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111001 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111001 ( #51 b00000000000000000000000000000000 $ b11111111111111111111111111111000 & -b11111111111111111111111111111000 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111000 * -b11111111111111111111111111111000 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111000 ( +b11111111111111111111111111111000 ) #52 b00000000000000000000000000000001 $ b11111111111111111111111111111100 & -b11111111111111111111111111110111 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111110111 * -b11111111111111111111111111111100 + +b11111111111111111111111111111111 ' +b11111111111111111111111111110111 ( +b11111111111111111111111111111100 ) #53 b00000000000000000000000000000010 $ b11111111111111111111111111111110 & -b11111111111111111111111111110110 ' +b11111111111111111111111111111110 ' +b11111111111111111111111111110110 ( b11111111111111111111111111111110 ) -b11111111111111111111111111110110 * -b11111111111111111111111111111110 + #54 b00000000000000000000000000000011 $ b11111111111111111111111111111111 & -b11111111111111111111111111110101 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111110101 * -b11111111111111111111111111111111 + +b11111111111111111111111111111101 ' +b11111111111111111111111111110101 ( +b11111111111111111111111111111111 ) #55 b00000000000000000000000000000100 $ -b11111111111111111111111111110100 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111110100 * +b11111111111111111111111111111100 ' +b11111111111111111111111111110100 ( #56 b00000000000000000000000000000101 $ -b11111111111111111111111111110011 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110011 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110011 ( #57 b00000000000000000000000000000110 $ -b11111111111111111111111111110010 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110010 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110010 ( #58 b00000000000000000000000000000111 $ -b11111111111111111111111111110001 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110001 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110001 ( #59 b00000000000000000000000000001000 $ -b11111111111111111111111111110000 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110000 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110000 ( #60 b00000000000000000000000000001001 $ -b11111111111111111111111111101111 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111101111 * +b11111111111111111111111111110111 ' +b11111111111111111111111111101111 ( #61 b11111111111111111111111111111001 # b11111111111111111111111111110110 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000011 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000011 ( #62 b11111111111111111111111111110111 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000010 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000010 ( #63 b11111111111111111111111111111000 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000001 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000001 ( #64 b11111111111111111111111111111001 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000000 ( #65 b11111111111111111111111111111010 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000110 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000110 ' +b11111111111111111111111111111111 ( #66 b11111111111111111111111111111011 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000101 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000101 ' +b11111111111111111111111111111110 ( #67 b11111111111111111111111111111100 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000100 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000100 ' +b11111111111111111111111111111101 ( #68 b11111111111111111111111111111101 $ -b11111111111111111111111111111100 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111100 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111100 ( #69 b11111111111111111111111111111110 $ -b11111111111111111111111111111011 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111011 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111011 ( #70 b11111111111111111111111111111111 $ -b11111111111111111111111111111010 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111010 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111010 ( #71 b00000000000000000000000000000000 $ b11111111111111111111111111111001 & -b11111111111111111111111111111001 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111001 * -b11111111111111111111111111111001 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111001 ( +b11111111111111111111111111111001 ) #72 b00000000000000000000000000000001 $ b11111111111111111111111111111100 & -b11111111111111111111111111111000 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111000 * -b11111111111111111111111111111100 + +b11111111111111111111111111111111 ' +b11111111111111111111111111111000 ( +b11111111111111111111111111111100 ) #73 b00000000000000000000000000000010 $ b11111111111111111111111111111110 & -b11111111111111111111111111110111 ' +b11111111111111111111111111111110 ' +b11111111111111111111111111110111 ( b11111111111111111111111111111110 ) -b11111111111111111111111111110111 * -b11111111111111111111111111111110 + #74 b00000000000000000000000000000011 $ b11111111111111111111111111111111 & -b11111111111111111111111111110110 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111110110 * -b11111111111111111111111111111111 + +b11111111111111111111111111111101 ' +b11111111111111111111111111110110 ( +b11111111111111111111111111111111 ) #75 b00000000000000000000000000000100 $ -b11111111111111111111111111110101 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111110101 * +b11111111111111111111111111111100 ' +b11111111111111111111111111110101 ( #76 b00000000000000000000000000000101 $ -b11111111111111111111111111110100 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110100 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110100 ( #77 b00000000000000000000000000000110 $ -b11111111111111111111111111110011 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110011 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110011 ( #78 b00000000000000000000000000000111 $ -b11111111111111111111111111110010 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110010 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110010 ( #79 b00000000000000000000000000001000 $ -b11111111111111111111111111110001 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110001 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110001 ( #80 b00000000000000000000000000001001 $ -b11111111111111111111111111110000 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110000 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110000 ( #81 b11111111111111111111111111111010 # b11111111111111111111111111110110 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000100 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000100 ( #82 b11111111111111111111111111110111 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000011 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000011 ( #83 b11111111111111111111111111111000 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000010 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000010 ( #84 b11111111111111111111111111111001 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000001 ( #85 b11111111111111111111111111111010 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000000 ( #86 b11111111111111111111111111111011 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000101 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000101 ' +b11111111111111111111111111111111 ( #87 b11111111111111111111111111111100 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000100 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000100 ' +b11111111111111111111111111111110 ( #88 b11111111111111111111111111111101 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111101 ( #89 b11111111111111111111111111111110 $ -b11111111111111111111111111111100 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111100 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111100 ( #90 b11111111111111111111111111111111 $ -b11111111111111111111111111111011 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111011 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111011 ( #91 b00000000000000000000000000000000 $ b11111111111111111111111111111010 & -b11111111111111111111111111111010 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111010 * -b11111111111111111111111111111010 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111010 ( +b11111111111111111111111111111010 ) #92 b00000000000000000000000000000001 $ b11111111111111111111111111111101 & -b11111111111111111111111111111001 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111001 * -b11111111111111111111111111111101 + +b11111111111111111111111111111111 ' +b11111111111111111111111111111001 ( +b11111111111111111111111111111101 ) #93 b00000000000000000000000000000010 $ b11111111111111111111111111111110 & -b11111111111111111111111111111000 ' +b11111111111111111111111111111110 ' +b11111111111111111111111111111000 ( b11111111111111111111111111111110 ) -b11111111111111111111111111111000 * -b11111111111111111111111111111110 + #94 b00000000000000000000000000000011 $ b11111111111111111111111111111111 & -b11111111111111111111111111110111 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111110111 * -b11111111111111111111111111111111 + +b11111111111111111111111111111101 ' +b11111111111111111111111111110111 ( +b11111111111111111111111111111111 ) #95 b00000000000000000000000000000100 $ -b11111111111111111111111111110110 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111110110 * +b11111111111111111111111111111100 ' +b11111111111111111111111111110110 ( #96 b00000000000000000000000000000101 $ -b11111111111111111111111111110101 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110101 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110101 ( #97 b00000000000000000000000000000110 $ -b11111111111111111111111111110100 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110100 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110100 ( #98 b00000000000000000000000000000111 $ -b11111111111111111111111111110011 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110011 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110011 ( #99 b00000000000000000000000000001000 $ -b11111111111111111111111111110010 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110010 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110010 ( #100 b00000000000000000000000000001001 $ -b11111111111111111111111111110001 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110001 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110001 ( #101 b11111111111111111111111111111011 # b11111111111111111111111111110110 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000101 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000101 ( #102 b11111111111111111111111111110111 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000100 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000100 ( #103 b11111111111111111111111111111000 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000011 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000011 ( #104 b11111111111111111111111111111001 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000010 ( #105 b11111111111111111111111111111010 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000001 ( #106 b11111111111111111111111111111011 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000000 ( #107 b11111111111111111111111111111100 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000100 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000100 ' +b11111111111111111111111111111111 ( #108 b11111111111111111111111111111101 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111110 ( #109 b11111111111111111111111111111110 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111101 ( #110 b11111111111111111111111111111111 $ -b11111111111111111111111111111100 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111100 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111100 ( #111 b00000000000000000000000000000000 $ b11111111111111111111111111111011 & -b11111111111111111111111111111011 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111011 * -b11111111111111111111111111111011 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111011 ( +b11111111111111111111111111111011 ) #112 b00000000000000000000000000000001 $ b11111111111111111111111111111101 & -b11111111111111111111111111111010 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111010 * -b11111111111111111111111111111101 + +b11111111111111111111111111111111 ' +b11111111111111111111111111111010 ( +b11111111111111111111111111111101 ) #113 b00000000000000000000000000000010 $ b11111111111111111111111111111110 & -b11111111111111111111111111111001 ' +b11111111111111111111111111111110 ' +b11111111111111111111111111111001 ( b11111111111111111111111111111110 ) -b11111111111111111111111111111001 * -b11111111111111111111111111111110 + #114 b00000000000000000000000000000011 $ b11111111111111111111111111111111 & -b11111111111111111111111111111000 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111000 * -b11111111111111111111111111111111 + +b11111111111111111111111111111101 ' +b11111111111111111111111111111000 ( +b11111111111111111111111111111111 ) #115 b00000000000000000000000000000100 $ -b11111111111111111111111111110111 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111110111 * +b11111111111111111111111111111100 ' +b11111111111111111111111111110111 ( #116 b00000000000000000000000000000101 $ -b11111111111111111111111111110110 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110110 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110110 ( #117 b00000000000000000000000000000110 $ -b11111111111111111111111111110101 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110101 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110101 ( #118 b00000000000000000000000000000111 $ -b11111111111111111111111111110100 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110100 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110100 ( #119 b00000000000000000000000000001000 $ -b11111111111111111111111111110011 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110011 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110011 ( #120 b00000000000000000000000000001001 $ -b11111111111111111111111111110010 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110010 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110010 ( #121 b11111111111111111111111111111100 # b11111111111111111111111111110110 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000110 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000110 ( #122 b11111111111111111111111111110111 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000101 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000101 ( #123 b11111111111111111111111111111000 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000100 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000100 ( #124 b11111111111111111111111111111001 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000011 ( #125 b11111111111111111111111111111010 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000010 ( #126 b11111111111111111111111111111011 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000001 ( #127 b11111111111111111111111111111100 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000000 ( #128 b11111111111111111111111111111101 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000011 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000011 ' +b11111111111111111111111111111111 ( #129 b11111111111111111111111111111110 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111110 ( #130 b11111111111111111111111111111111 $ -b11111111111111111111111111111101 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111101 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111101 ( #131 b00000000000000000000000000000000 $ b11111111111111111111111111111100 & -b11111111111111111111111111111100 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111100 * -b11111111111111111111111111111100 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111100 ( +b11111111111111111111111111111100 ) #132 b00000000000000000000000000000001 $ b11111111111111111111111111111110 & -b11111111111111111111111111111011 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111011 * -b11111111111111111111111111111110 + +b11111111111111111111111111111111 ' +b11111111111111111111111111111011 ( +b11111111111111111111111111111110 ) #133 b00000000000000000000000000000010 $ b11111111111111111111111111111111 & -b11111111111111111111111111111010 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111111010 * -b11111111111111111111111111111111 + +b11111111111111111111111111111110 ' +b11111111111111111111111111111010 ( +b11111111111111111111111111111111 ) #134 b00000000000000000000000000000011 $ -b11111111111111111111111111111001 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111001 * +b11111111111111111111111111111101 ' +b11111111111111111111111111111001 ( #135 b00000000000000000000000000000100 $ -b11111111111111111111111111111000 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111000 * +b11111111111111111111111111111100 ' +b11111111111111111111111111111000 ( #136 b00000000000000000000000000000101 $ -b11111111111111111111111111110111 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111110111 * +b11111111111111111111111111111011 ' +b11111111111111111111111111110111 ( #137 b00000000000000000000000000000110 $ -b11111111111111111111111111110110 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110110 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110110 ( #138 b00000000000000000000000000000111 $ -b11111111111111111111111111110101 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110101 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110101 ( #139 b00000000000000000000000000001000 $ -b11111111111111111111111111110100 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110100 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110100 ( #140 b00000000000000000000000000001001 $ -b11111111111111111111111111110011 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110011 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110011 ( #141 b11111111111111111111111111111101 # b11111111111111111111111111110110 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000000111 * +b00000000000000000000000000001010 ' +b00000000000000000000000000000111 ( #142 b11111111111111111111111111110111 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000110 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000110 ( #143 b11111111111111111111111111111000 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000101 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000101 ( #144 b11111111111111111111111111111001 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000100 ( #145 b11111111111111111111111111111010 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000011 ( #146 b11111111111111111111111111111011 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000010 ( #147 b11111111111111111111111111111100 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000001 ( #148 b11111111111111111111111111111101 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000000 ( #149 b11111111111111111111111111111110 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000010 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000010 ' +b11111111111111111111111111111111 ( #150 b11111111111111111111111111111111 $ -b11111111111111111111111111111110 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111110 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111110 ( #151 b00000000000000000000000000000000 $ b11111111111111111111111111111101 & -b11111111111111111111111111111101 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111101 * -b11111111111111111111111111111101 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111101 ( +b11111111111111111111111111111101 ) #152 b00000000000000000000000000000001 $ b11111111111111111111111111111110 & -b11111111111111111111111111111100 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111100 * -b11111111111111111111111111111110 + +b11111111111111111111111111111111 ' +b11111111111111111111111111111100 ( +b11111111111111111111111111111110 ) #153 b00000000000000000000000000000010 $ b11111111111111111111111111111111 & -b11111111111111111111111111111011 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111111011 * -b11111111111111111111111111111111 + +b11111111111111111111111111111110 ' +b11111111111111111111111111111011 ( +b11111111111111111111111111111111 ) #154 b00000000000000000000000000000011 $ -b11111111111111111111111111111010 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111010 * +b11111111111111111111111111111101 ' +b11111111111111111111111111111010 ( #155 b00000000000000000000000000000100 $ -b11111111111111111111111111111001 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111001 * +b11111111111111111111111111111100 ' +b11111111111111111111111111111001 ( #156 b00000000000000000000000000000101 $ -b11111111111111111111111111111000 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111000 * +b11111111111111111111111111111011 ' +b11111111111111111111111111111000 ( #157 b00000000000000000000000000000110 $ -b11111111111111111111111111110111 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111110111 * +b11111111111111111111111111111010 ' +b11111111111111111111111111110111 ( #158 b00000000000000000000000000000111 $ -b11111111111111111111111111110110 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110110 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110110 ( #159 b00000000000000000000000000001000 $ -b11111111111111111111111111110101 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110101 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110101 ( #160 b00000000000000000000000000001001 $ -b11111111111111111111111111110100 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110100 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110100 ( #161 b11111111111111111111111111111110 # b11111111111111111111111111110110 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001000 * +b00000000000000000000000000001010 ' +b00000000000000000000000000001000 ( #162 b11111111111111111111111111110111 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000000111 * +b00000000000000000000000000001001 ' +b00000000000000000000000000000111 ( #163 b11111111111111111111111111111000 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000110 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000110 ( #164 b11111111111111111111111111111001 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000101 ( #165 b11111111111111111111111111111010 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000100 ( #166 b11111111111111111111111111111011 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000011 ( #167 b11111111111111111111111111111100 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000010 ( #168 b11111111111111111111111111111101 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000001 ( #169 b11111111111111111111111111111110 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000000 ( #170 b11111111111111111111111111111111 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000001 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000001 ' +b11111111111111111111111111111111 ( #171 b00000000000000000000000000000000 $ b11111111111111111111111111111110 & -b11111111111111111111111111111110 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111110 * -b11111111111111111111111111111110 + +b00000000000000000000000000000000 ' +b11111111111111111111111111111110 ( +b11111111111111111111111111111110 ) #172 b00000000000000000000000000000001 $ b11111111111111111111111111111111 & -b11111111111111111111111111111101 ' +b11111111111111111111111111111111 ' +b11111111111111111111111111111101 ( b11111111111111111111111111111111 ) -b11111111111111111111111111111101 * -b11111111111111111111111111111111 + #173 b00000000000000000000000000000010 $ -b11111111111111111111111111111100 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111111100 * +b11111111111111111111111111111110 ' +b11111111111111111111111111111100 ( #174 b00000000000000000000000000000011 $ -b11111111111111111111111111111011 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111011 * +b11111111111111111111111111111101 ' +b11111111111111111111111111111011 ( #175 b00000000000000000000000000000100 $ -b11111111111111111111111111111010 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111010 * +b11111111111111111111111111111100 ' +b11111111111111111111111111111010 ( #176 b00000000000000000000000000000101 $ -b11111111111111111111111111111001 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111001 * +b11111111111111111111111111111011 ' +b11111111111111111111111111111001 ( #177 b00000000000000000000000000000110 $ -b11111111111111111111111111111000 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111000 * +b11111111111111111111111111111010 ' +b11111111111111111111111111111000 ( #178 b00000000000000000000000000000111 $ -b11111111111111111111111111110111 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111110111 * +b11111111111111111111111111111001 ' +b11111111111111111111111111110111 ( #179 b00000000000000000000000000001000 $ -b11111111111111111111111111110110 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110110 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110110 ( #180 b00000000000000000000000000001001 $ -b11111111111111111111111111110101 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110101 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110101 ( #181 b11111111111111111111111111111111 # b11111111111111111111111111110110 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001001 * +b00000000000000000000000000001010 ' +b00000000000000000000000000001001 ( #182 b11111111111111111111111111110111 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001000 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001000 ( #183 b11111111111111111111111111111000 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000000111 * +b00000000000000000000000000001000 ' +b00000000000000000000000000000111 ( #184 b11111111111111111111111111111001 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000111 ' +b00000000000000000000000000000110 ( #185 b11111111111111111111111111111010 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000101 ( #186 b11111111111111111111111111111011 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000100 ( #187 b11111111111111111111111111111100 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000011 ( #188 b11111111111111111111111111111101 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000010 ( #189 b11111111111111111111111111111110 $ -b00000000000000000000000000000001 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000001 ( #190 b11111111111111111111111111111111 $ -b00000000000000000000000000000000 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000000 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000000 ( #191 b00000000000000000000000000000000 $ -b11111111111111111111111111111111 ' -b00000000000000000000000000000000 ) -b11111111111111111111111111111111 * +b00000000000000000000000000000000 ' +b11111111111111111111111111111111 ( #192 b00000000000000000000000000000001 $ -b11111111111111111111111111111110 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111110 * +b11111111111111111111111111111111 ' +b11111111111111111111111111111110 ( #193 b00000000000000000000000000000010 $ -b11111111111111111111111111111101 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111111101 * +b11111111111111111111111111111110 ' +b11111111111111111111111111111101 ( #194 b00000000000000000000000000000011 $ -b11111111111111111111111111111100 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111100 * +b11111111111111111111111111111101 ' +b11111111111111111111111111111100 ( #195 b00000000000000000000000000000100 $ -b11111111111111111111111111111011 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111011 * +b11111111111111111111111111111100 ' +b11111111111111111111111111111011 ( #196 b00000000000000000000000000000101 $ -b11111111111111111111111111111010 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111010 * +b11111111111111111111111111111011 ' +b11111111111111111111111111111010 ( #197 b00000000000000000000000000000110 $ -b11111111111111111111111111111001 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111001 * +b11111111111111111111111111111010 ' +b11111111111111111111111111111001 ( #198 b00000000000000000000000000000111 $ -b11111111111111111111111111111000 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111000 * +b11111111111111111111111111111001 ' +b11111111111111111111111111111000 ( #199 b00000000000000000000000000001000 $ -b11111111111111111111111111110111 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111110111 * +b11111111111111111111111111111000 ' +b11111111111111111111111111110111 ( #200 b00000000000000000000000000001001 $ -b11111111111111111111111111110110 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110110 * +b11111111111111111111111111110111 ' +b11111111111111111111111111110110 ( #201 b00000000000000000000000000000000 # b11111111111111111111111111110110 $ b00000000000000000000000000001010 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001010 * +b00000000000000000000000000001010 ( #202 b11111111111111111111111111110111 $ b00000000000000000000000000001001 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001001 * +b00000000000000000000000000001001 ( #203 b11111111111111111111111111111000 $ b00000000000000000000000000001000 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001000 * +b00000000000000000000000000001000 ( #204 b11111111111111111111111111111001 $ b00000000000000000000000000000111 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000111 ( #205 b11111111111111111111111111111010 $ b00000000000000000000000000000110 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000110 ( #206 b11111111111111111111111111111011 $ b00000000000000000000000000000101 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000101 ( #207 b11111111111111111111111111111100 $ b00000000000000000000000000000100 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000100 ( #208 b11111111111111111111111111111101 $ b00000000000000000000000000000011 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000011 ( #209 b11111111111111111111111111111110 $ b00000000000000000000000000000010 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000010 ( #210 b11111111111111111111111111111111 $ b00000000000000000000000000000001 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000001 * +b00000000000000000000000000000001 ( #211 b00000000000000000000000000000000 $ b10000000000000000000000000000000 & b00000000000000000000000000000000 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000000 * -b10000000000000000000000000000000 + +b00000000000000000000000000000000 ( +b10000000000000000000000000000000 ) #212 b00000000000000000000000000000001 $ b11000000000000000000000000000000 & b11111111111111111111111111111111 ' -b11111111111111111111111111111111 ) -b11111111111111111111111111111111 * -b11000000000000000000000000000000 + +b11111111111111111111111111111111 ( +b11000000000000000000000000000000 ) #213 b00000000000000000000000000000010 $ b11100000000000000000000000000000 & b11111111111111111111111111111110 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111111110 * -b11100000000000000000000000000000 + +b11111111111111111111111111111110 ( +b11100000000000000000000000000000 ) #214 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & b11111111111111111111111111111101 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111101 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ( +b11110000000000000000000000000000 ) #215 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & b11111111111111111111111111111100 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111100 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ( +b11111000000000000000000000000000 ) #216 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & b11111111111111111111111111111011 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111011 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ( +b11111100000000000000000000000000 ) #217 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & b11111111111111111111111111111010 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111010 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ( +b11111110000000000000000000000000 ) #218 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & b11111111111111111111111111111001 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111001 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ( +b11111111000000000000000000000000 ) #219 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & b11111111111111111111111111111000 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111000 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ( +b11111111100000000000000000000000 ) #220 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & b11111111111111111111111111110111 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111110111 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ( +b11111111110000000000000000000000 ) #221 b00000000000000000000000000000001 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000001011 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001011 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000001011 ( +b11111111111111111111111111111111 ) #222 b11111111111111111111111111110111 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001010 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001010 ( #223 b11111111111111111111111111111000 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001001 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001001 ( #224 b11111111111111111111111111111001 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001000 ( #225 b11111111111111111111111111111010 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000110 ' +b00000000000000000000000000000111 ( #226 b11111111111111111111111111111011 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000110 ( #227 b11111111111111111111111111111100 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000101 ( #228 b11111111111111111111111111111101 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000100 ( #229 b11111111111111111111111111111110 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000011 ( #230 b11111111111111111111111111111111 $ -b00000000000000000000000000000010 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000010 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000010 ( #231 b00000000000000000000000000000000 $ b10000000000000000000000000000001 & -b00000000000000000000000000000001 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000001 * -b10000000000000000000000000000001 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000001 ( +b10000000000000000000000000000001 ) #232 b00000000000000000000000000000001 $ b11000000000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000000 * -b11000000000000000000000000000000 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000000 ( +b11000000000000000000000000000000 ) #233 b00000000000000000000000000000010 $ b11100000000000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111110 ) -b11111111111111111111111111111111 * -b11100000000000000000000000000000 + +b11111111111111111111111111111110 ' +b11111111111111111111111111111111 ( +b11100000000000000000000000000000 ) #234 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111110 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b11111111111111111111111111111110 ( +b11110000000000000000000000000000 ) #235 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b11111111111111111111111111111101 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111101 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b11111111111111111111111111111101 ( +b11111000000000000000000000000000 ) #236 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b11111111111111111111111111111100 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111100 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b11111111111111111111111111111100 ( +b11111100000000000000000000000000 ) #237 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b11111111111111111111111111111011 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111011 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b11111111111111111111111111111011 ( +b11111110000000000000000000000000 ) #238 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b11111111111111111111111111111010 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111010 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b11111111111111111111111111111010 ( +b11111111000000000000000000000000 ) #239 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111001 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111001 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111001 ( +b11111111100000000000000000000000 ) #240 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111000 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111000 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111000 ( +b11111111110000000000000000000000 ) #241 b00000000000000000000000000000010 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000001100 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001100 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000001100 ( +b11111111111111111111111111111111 ) #242 b11111111111111111111111111110111 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001011 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001011 ( #243 b11111111111111111111111111111000 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001010 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001010 ( #244 b11111111111111111111111111111001 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001001 ( #245 b11111111111111111111111111111010 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001000 ( #246 b11111111111111111111111111111011 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000101 ' +b00000000000000000000000000000111 ( #247 b11111111111111111111111111111100 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000110 ( #248 b11111111111111111111111111111101 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000101 ( #249 b11111111111111111111111111111110 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000100 ( #250 b11111111111111111111111111111111 $ -b00000000000000000000000000000011 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000011 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000011 ( #251 b00000000000000000000000000000000 $ b10000000000000000000000000000010 & -b00000000000000000000000000000010 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000010 * -b10000000000000000000000000000010 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000010 ( +b10000000000000000000000000000010 ) #252 b00000000000000000000000000000001 $ b11000000000000000000000000000001 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000001 * -b11000000000000000000000000000001 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000001 ( +b11000000000000000000000000000001 ) #253 b00000000000000000000000000000010 $ b11100000000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000000 * -b11100000000000000000000000000000 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000000 ( +b11100000000000000000000000000000 ) #254 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111101 ) -b11111111111111111111111111111111 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b11111111111111111111111111111111 ( +b11110000000000000000000000000000 ) #255 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111110 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b11111111111111111111111111111110 ( +b11111000000000000000000000000000 ) #256 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b11111111111111111111111111111101 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111101 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b11111111111111111111111111111101 ( +b11111100000000000000000000000000 ) #257 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b11111111111111111111111111111100 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111100 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b11111111111111111111111111111100 ( +b11111110000000000000000000000000 ) #258 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b11111111111111111111111111111011 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111011 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b11111111111111111111111111111011 ( +b11111111000000000000000000000000 ) #259 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111010 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111010 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111010 ( +b11111111100000000000000000000000 ) #260 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111001 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111001 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111001 ( +b11111111110000000000000000000000 ) #261 b00000000000000000000000000000011 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000001101 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001101 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000001101 ( +b11111111111111111111111111111111 ) #262 b11111111111111111111111111110111 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001100 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001100 ( #263 b11111111111111111111111111111000 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001011 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001011 ( #264 b11111111111111111111111111111001 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001010 ( #265 b11111111111111111111111111111010 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001001 ( #266 b11111111111111111111111111111011 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001000 ( #267 b11111111111111111111111111111100 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000100 ' +b00000000000000000000000000000111 ( #268 b11111111111111111111111111111101 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000110 ( #269 b11111111111111111111111111111110 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000101 ( #270 b11111111111111111111111111111111 $ -b00000000000000000000000000000100 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000100 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000100 ( #271 b00000000000000000000000000000000 $ b10000000000000000000000000000011 & -b00000000000000000000000000000011 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000011 * -b10000000000000000000000000000011 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000011 ( +b10000000000000000000000000000011 ) #272 b00000000000000000000000000000001 $ b11000000000000000000000000000001 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000010 * -b11000000000000000000000000000001 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000010 ( +b11000000000000000000000000000001 ) #273 b00000000000000000000000000000010 $ b11100000000000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000001 * -b11100000000000000000000000000000 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000001 ( +b11100000000000000000000000000000 ) #274 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000000 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000000 ( +b11110000000000000000000000000000 ) #275 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111100 ) -b11111111111111111111111111111111 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b11111111111111111111111111111111 ( +b11111000000000000000000000000000 ) #276 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111110 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b11111111111111111111111111111110 ( +b11111100000000000000000000000000 ) #277 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b11111111111111111111111111111101 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111101 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b11111111111111111111111111111101 ( +b11111110000000000000000000000000 ) #278 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b11111111111111111111111111111100 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111100 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b11111111111111111111111111111100 ( +b11111111000000000000000000000000 ) #279 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111011 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111011 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111011 ( +b11111111100000000000000000000000 ) #280 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111010 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111010 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111010 ( +b11111111110000000000000000000000 ) #281 b00000000000000000000000000000100 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000001110 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001110 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000001110 ( +b11111111111111111111111111111111 ) #282 b11111111111111111111111111110111 $ -b00000000000000000000000000001101 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001101 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001101 ( #283 b11111111111111111111111111111000 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001100 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001100 ( #284 b11111111111111111111111111111001 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001011 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001011 ( #285 b11111111111111111111111111111010 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001010 ( #286 b11111111111111111111111111111011 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001001 ( #287 b11111111111111111111111111111100 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000100 ' +b00000000000000000000000000001000 ( #288 b11111111111111111111111111111101 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000011 ' +b00000000000000000000000000000111 ( #289 b11111111111111111111111111111110 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000110 ( #290 b11111111111111111111111111111111 $ -b00000000000000000000000000000101 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000101 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000101 ( #291 b00000000000000000000000000000000 $ b10000000000000000000000000000100 & -b00000000000000000000000000000100 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000100 * -b10000000000000000000000000000100 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000100 ( +b10000000000000000000000000000100 ) #292 b00000000000000000000000000000001 $ b11000000000000000000000000000010 & -b00000000000000000000000000000011 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000011 * -b11000000000000000000000000000010 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000011 ( +b11000000000000000000000000000010 ) #293 b00000000000000000000000000000010 $ b11100000000000000000000000000001 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000010 * -b11100000000000000000000000000001 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000010 ( +b11100000000000000000000000000001 ) #294 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000001 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000001 ( +b11110000000000000000000000000000 ) #295 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111100 ) -b00000000000000000000000000000000 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b00000000000000000000000000000000 ( +b11111000000000000000000000000000 ) #296 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111011 ) -b11111111111111111111111111111111 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b11111111111111111111111111111111 ( +b11111100000000000000000000000000 ) #297 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111110 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b11111111111111111111111111111110 ( +b11111110000000000000000000000000 ) #298 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b11111111111111111111111111111101 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111101 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b11111111111111111111111111111101 ( +b11111111000000000000000000000000 ) #299 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111100 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111100 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111100 ( +b11111111100000000000000000000000 ) #300 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111011 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111011 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111011 ( +b11111111110000000000000000000000 ) #301 b00000000000000000000000000000101 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000001111 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000001111 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000001111 ( +b11111111111111111111111111111111 ) #302 b11111111111111111111111111110111 $ -b00000000000000000000000000001110 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001110 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001110 ( #303 b11111111111111111111111111111000 $ -b00000000000000000000000000001101 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001101 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001101 ( #304 b11111111111111111111111111111001 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001100 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001100 ( #305 b11111111111111111111111111111010 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001011 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001011 ( #306 b11111111111111111111111111111011 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001010 ( #307 b11111111111111111111111111111100 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000100 ' +b00000000000000000000000000001001 ( #308 b11111111111111111111111111111101 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000011 ' +b00000000000000000000000000001000 ( #309 b11111111111111111111111111111110 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000010 ' +b00000000000000000000000000000111 ( #310 b11111111111111111111111111111111 $ -b00000000000000000000000000000110 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000110 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000110 ( #311 b00000000000000000000000000000000 $ b10000000000000000000000000000101 & -b00000000000000000000000000000101 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000101 * -b10000000000000000000000000000101 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000101 ( +b10000000000000000000000000000101 ) #312 b00000000000000000000000000000001 $ b11000000000000000000000000000010 & -b00000000000000000000000000000100 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000100 * -b11000000000000000000000000000010 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000100 ( +b11000000000000000000000000000010 ) #313 b00000000000000000000000000000010 $ b11100000000000000000000000000001 & -b00000000000000000000000000000011 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000011 * -b11100000000000000000000000000001 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000011 ( +b11100000000000000000000000000001 ) #314 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000010 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000010 ( +b11110000000000000000000000000000 ) #315 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111100 ) -b00000000000000000000000000000001 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b00000000000000000000000000000001 ( +b11111000000000000000000000000000 ) #316 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111011 ) -b00000000000000000000000000000000 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b00000000000000000000000000000000 ( +b11111100000000000000000000000000 ) #317 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111010 ) -b11111111111111111111111111111111 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b11111111111111111111111111111111 ( +b11111110000000000000000000000000 ) #318 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111110 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b11111111111111111111111111111110 ( +b11111111000000000000000000000000 ) #319 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111101 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111101 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111101 ( +b11111111100000000000000000000000 ) #320 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111100 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111100 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111100 ( +b11111111110000000000000000000000 ) #321 b00000000000000000000000000000110 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000010000 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000010000 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000010000 ( +b11111111111111111111111111111111 ) #322 b11111111111111111111111111110111 $ -b00000000000000000000000000001111 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000001111 * +b00000000000000000000000000001001 ' +b00000000000000000000000000001111 ( #323 b11111111111111111111111111111000 $ -b00000000000000000000000000001110 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001110 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001110 ( #324 b11111111111111111111111111111001 $ -b00000000000000000000000000001101 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001101 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001101 ( #325 b11111111111111111111111111111010 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001100 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001100 ( #326 b11111111111111111111111111111011 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001011 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001011 ( #327 b11111111111111111111111111111100 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000100 ' +b00000000000000000000000000001010 ( #328 b11111111111111111111111111111101 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000011 ' +b00000000000000000000000000001001 ( #329 b11111111111111111111111111111110 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000010 ' +b00000000000000000000000000001000 ( #330 b11111111111111111111111111111111 $ -b00000000000000000000000000000111 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000000111 * +b00000000000000000000000000000001 ' +b00000000000000000000000000000111 ( #331 b00000000000000000000000000000000 $ b10000000000000000000000000000110 & -b00000000000000000000000000000110 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000110 * -b10000000000000000000000000000110 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000110 ( +b10000000000000000000000000000110 ) #332 b00000000000000000000000000000001 $ b11000000000000000000000000000011 & -b00000000000000000000000000000101 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000101 * -b11000000000000000000000000000011 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000101 ( +b11000000000000000000000000000011 ) #333 b00000000000000000000000000000010 $ b11100000000000000000000000000001 & -b00000000000000000000000000000100 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000100 * -b11100000000000000000000000000001 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000100 ( +b11100000000000000000000000000001 ) #334 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b00000000000000000000000000000011 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000011 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000011 ( +b11110000000000000000000000000000 ) #335 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111100 ) -b00000000000000000000000000000010 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b00000000000000000000000000000010 ( +b11111000000000000000000000000000 ) #336 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111011 ) -b00000000000000000000000000000001 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b00000000000000000000000000000001 ( +b11111100000000000000000000000000 ) #337 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111010 ) -b00000000000000000000000000000000 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b00000000000000000000000000000000 ( +b11111110000000000000000000000000 ) #338 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111001 ) -b11111111111111111111111111111111 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b11111111111111111111111111111111 ( +b11111111000000000000000000000000 ) #339 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111110 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111110 ( +b11111111100000000000000000000000 ) #340 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111101 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111101 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111101 ( +b11111111110000000000000000000000 ) #341 b00000000000000000000000000000111 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000010001 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000010001 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000010001 ( +b11111111111111111111111111111111 ) #342 b11111111111111111111111111110111 $ -b00000000000000000000000000010000 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000010000 * +b00000000000000000000000000001001 ' +b00000000000000000000000000010000 ( #343 b11111111111111111111111111111000 $ -b00000000000000000000000000001111 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000001111 * +b00000000000000000000000000001000 ' +b00000000000000000000000000001111 ( #344 b11111111111111111111111111111001 $ -b00000000000000000000000000001110 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001110 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001110 ( #345 b11111111111111111111111111111010 $ -b00000000000000000000000000001101 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001101 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001101 ( #346 b11111111111111111111111111111011 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001100 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001100 ( #347 b11111111111111111111111111111100 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000001011 * +b00000000000000000000000000000100 ' +b00000000000000000000000000001011 ( #348 b11111111111111111111111111111101 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000011 ' +b00000000000000000000000000001010 ( #349 b11111111111111111111111111111110 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000010 ' +b00000000000000000000000000001001 ( #350 b11111111111111111111111111111111 $ -b00000000000000000000000000001000 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000001000 * +b00000000000000000000000000000001 ' +b00000000000000000000000000001000 ( #351 b00000000000000000000000000000000 $ b10000000000000000000000000000111 & -b00000000000000000000000000000111 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000000111 * -b10000000000000000000000000000111 + +b00000000000000000000000000000000 ' +b00000000000000000000000000000111 ( +b10000000000000000000000000000111 ) #352 b00000000000000000000000000000001 $ b11000000000000000000000000000011 & -b00000000000000000000000000000110 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000110 * -b11000000000000000000000000000011 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000110 ( +b11000000000000000000000000000011 ) #353 b00000000000000000000000000000010 $ b11100000000000000000000000000001 & -b00000000000000000000000000000101 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000101 * -b11100000000000000000000000000001 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000101 ( +b11100000000000000000000000000001 ) #354 b00000000000000000000000000000011 $ b11110000000000000000000000000000 & -b00000000000000000000000000000100 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000100 * -b11110000000000000000000000000000 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000100 ( +b11110000000000000000000000000000 ) #355 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b00000000000000000000000000000011 ' -b11111111111111111111111111111100 ) -b00000000000000000000000000000011 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b00000000000000000000000000000011 ( +b11111000000000000000000000000000 ) #356 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111011 ) -b00000000000000000000000000000010 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b00000000000000000000000000000010 ( +b11111100000000000000000000000000 ) #357 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111010 ) -b00000000000000000000000000000001 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b00000000000000000000000000000001 ( +b11111110000000000000000000000000 ) #358 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111001 ) -b00000000000000000000000000000000 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b00000000000000000000000000000000 ( +b11111111000000000000000000000000 ) #359 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111111000 ) -b11111111111111111111111111111111 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b11111111111111111111111111111111 ( +b11111111100000000000000000000000 ) #360 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111110 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111110 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111110 ( +b11111111110000000000000000000000 ) #361 b00000000000000000000000000001000 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000010010 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000010010 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000010010 ( +b11111111111111111111111111111111 ) #362 b11111111111111111111111111110111 $ -b00000000000000000000000000010001 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000010001 * +b00000000000000000000000000001001 ' +b00000000000000000000000000010001 ( #363 b11111111111111111111111111111000 $ -b00000000000000000000000000010000 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000010000 * +b00000000000000000000000000001000 ' +b00000000000000000000000000010000 ( #364 b11111111111111111111111111111001 $ -b00000000000000000000000000001111 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000001111 * +b00000000000000000000000000000111 ' +b00000000000000000000000000001111 ( #365 b11111111111111111111111111111010 $ -b00000000000000000000000000001110 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001110 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001110 ( #366 b11111111111111111111111111111011 $ -b00000000000000000000000000001101 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001101 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001101 ( #367 b11111111111111111111111111111100 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000001100 * +b00000000000000000000000000000100 ' +b00000000000000000000000000001100 ( #368 b11111111111111111111111111111101 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000001011 * +b00000000000000000000000000000011 ' +b00000000000000000000000000001011 ( #369 b11111111111111111111111111111110 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000010 ' +b00000000000000000000000000001010 ( #370 b11111111111111111111111111111111 $ -b00000000000000000000000000001001 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000001001 * +b00000000000000000000000000000001 ' +b00000000000000000000000000001001 ( #371 b00000000000000000000000000000000 $ b10000000000000000000000000001000 & -b00000000000000000000000000001000 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000001000 * -b10000000000000000000000000001000 + +b00000000000000000000000000000000 ' +b00000000000000000000000000001000 ( +b10000000000000000000000000001000 ) #372 b00000000000000000000000000000001 $ b11000000000000000000000000000100 & -b00000000000000000000000000000111 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000000111 * -b11000000000000000000000000000100 + +b11111111111111111111111111111111 ' +b00000000000000000000000000000111 ( +b11000000000000000000000000000100 ) #373 b00000000000000000000000000000010 $ b11100000000000000000000000000010 & -b00000000000000000000000000000110 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000110 * -b11100000000000000000000000000010 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000110 ( +b11100000000000000000000000000010 ) #374 b00000000000000000000000000000011 $ b11110000000000000000000000000001 & -b00000000000000000000000000000101 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000101 * -b11110000000000000000000000000001 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000101 ( +b11110000000000000000000000000001 ) #375 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b00000000000000000000000000000100 ' -b11111111111111111111111111111100 ) -b00000000000000000000000000000100 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b00000000000000000000000000000100 ( +b11111000000000000000000000000000 ) #376 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b00000000000000000000000000000011 ' -b11111111111111111111111111111011 ) -b00000000000000000000000000000011 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b00000000000000000000000000000011 ( +b11111100000000000000000000000000 ) #377 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111010 ) -b00000000000000000000000000000010 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b00000000000000000000000000000010 ( +b11111110000000000000000000000000 ) #378 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111001 ) -b00000000000000000000000000000001 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b00000000000000000000000000000001 ( +b11111111000000000000000000000000 ) #379 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111111000 ) -b00000000000000000000000000000000 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b00000000000000000000000000000000 ( +b11111111100000000000000000000000 ) #380 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b11111111111111111111111111111111 ' -b11111111111111111111111111110111 ) -b11111111111111111111111111111111 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b11111111111111111111111111111111 ( +b11111111110000000000000000000000 ) #381 b00000000000000000000000000001001 # b11111111111111111111111111110110 $ b11111111111111111111111111111111 & -b00000000000000000000000000010011 ' -b00000000000000000000000000001010 ) -b00000000000000000000000000010011 * -b11111111111111111111111111111111 + +b00000000000000000000000000001010 ' +b00000000000000000000000000010011 ( +b11111111111111111111111111111111 ) #382 b11111111111111111111111111110111 $ -b00000000000000000000000000010010 ' -b00000000000000000000000000001001 ) -b00000000000000000000000000010010 * +b00000000000000000000000000001001 ' +b00000000000000000000000000010010 ( #383 b11111111111111111111111111111000 $ -b00000000000000000000000000010001 ' -b00000000000000000000000000001000 ) -b00000000000000000000000000010001 * +b00000000000000000000000000001000 ' +b00000000000000000000000000010001 ( #384 b11111111111111111111111111111001 $ -b00000000000000000000000000010000 ' -b00000000000000000000000000000111 ) -b00000000000000000000000000010000 * +b00000000000000000000000000000111 ' +b00000000000000000000000000010000 ( #385 b11111111111111111111111111111010 $ -b00000000000000000000000000001111 ' -b00000000000000000000000000000110 ) -b00000000000000000000000000001111 * +b00000000000000000000000000000110 ' +b00000000000000000000000000001111 ( #386 b11111111111111111111111111111011 $ -b00000000000000000000000000001110 ' -b00000000000000000000000000000101 ) -b00000000000000000000000000001110 * +b00000000000000000000000000000101 ' +b00000000000000000000000000001110 ( #387 b11111111111111111111111111111100 $ -b00000000000000000000000000001101 ' -b00000000000000000000000000000100 ) -b00000000000000000000000000001101 * +b00000000000000000000000000000100 ' +b00000000000000000000000000001101 ( #388 b11111111111111111111111111111101 $ -b00000000000000000000000000001100 ' -b00000000000000000000000000000011 ) -b00000000000000000000000000001100 * +b00000000000000000000000000000011 ' +b00000000000000000000000000001100 ( #389 b11111111111111111111111111111110 $ -b00000000000000000000000000001011 ' -b00000000000000000000000000000010 ) -b00000000000000000000000000001011 * +b00000000000000000000000000000010 ' +b00000000000000000000000000001011 ( #390 b11111111111111111111111111111111 $ -b00000000000000000000000000001010 ' -b00000000000000000000000000000001 ) -b00000000000000000000000000001010 * +b00000000000000000000000000000001 ' +b00000000000000000000000000001010 ( #391 b00000000000000000000000000000000 $ b10000000000000000000000000001001 & -b00000000000000000000000000001001 ' -b00000000000000000000000000000000 ) -b00000000000000000000000000001001 * -b10000000000000000000000000001001 + +b00000000000000000000000000000000 ' +b00000000000000000000000000001001 ( +b10000000000000000000000000001001 ) #392 b00000000000000000000000000000001 $ b11000000000000000000000000000100 & -b00000000000000000000000000001000 ' -b11111111111111111111111111111111 ) -b00000000000000000000000000001000 * -b11000000000000000000000000000100 + +b11111111111111111111111111111111 ' +b00000000000000000000000000001000 ( +b11000000000000000000000000000100 ) #393 b00000000000000000000000000000010 $ b11100000000000000000000000000010 & -b00000000000000000000000000000111 ' -b11111111111111111111111111111110 ) -b00000000000000000000000000000111 * -b11100000000000000000000000000010 + +b11111111111111111111111111111110 ' +b00000000000000000000000000000111 ( +b11100000000000000000000000000010 ) #394 b00000000000000000000000000000011 $ b11110000000000000000000000000001 & -b00000000000000000000000000000110 ' -b11111111111111111111111111111101 ) -b00000000000000000000000000000110 * -b11110000000000000000000000000001 + +b11111111111111111111111111111101 ' +b00000000000000000000000000000110 ( +b11110000000000000000000000000001 ) #395 b00000000000000000000000000000100 $ b11111000000000000000000000000000 & -b00000000000000000000000000000101 ' -b11111111111111111111111111111100 ) -b00000000000000000000000000000101 * -b11111000000000000000000000000000 + +b11111111111111111111111111111100 ' +b00000000000000000000000000000101 ( +b11111000000000000000000000000000 ) #396 b00000000000000000000000000000101 $ b11111100000000000000000000000000 & -b00000000000000000000000000000100 ' -b11111111111111111111111111111011 ) -b00000000000000000000000000000100 * -b11111100000000000000000000000000 + +b11111111111111111111111111111011 ' +b00000000000000000000000000000100 ( +b11111100000000000000000000000000 ) #397 b00000000000000000000000000000110 $ b11111110000000000000000000000000 & -b00000000000000000000000000000011 ' -b11111111111111111111111111111010 ) -b00000000000000000000000000000011 * -b11111110000000000000000000000000 + +b11111111111111111111111111111010 ' +b00000000000000000000000000000011 ( +b11111110000000000000000000000000 ) #398 b00000000000000000000000000000111 $ b11111111000000000000000000000000 & -b00000000000000000000000000000010 ' -b11111111111111111111111111111001 ) -b00000000000000000000000000000010 * -b11111111000000000000000000000000 + +b11111111111111111111111111111001 ' +b00000000000000000000000000000010 ( +b11111111000000000000000000000000 ) #399 b00000000000000000000000000001000 $ b11111111100000000000000000000000 & -b00000000000000000000000000000001 ' -b11111111111111111111111111111000 ) -b00000000000000000000000000000001 * -b11111111100000000000000000000000 + +b11111111111111111111111111111000 ' +b00000000000000000000000000000001 ( +b11111111100000000000000000000000 ) #400 b00000000000000000000000000001001 $ b11111111110000000000000000000000 & -b00000000000000000000000000000000 ' -b11111111111111111111111111110111 ) -b00000000000000000000000000000000 * -b11111111110000000000000000000000 + +b11111111111111111111111111110111 ' +b00000000000000000000000000000000 ( +b11111111110000000000000000000000 ) -- cgit v1.2.3