From d6b7d26cf51b4b3a7b5604f9b81bb43f55cbc33c Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 16 Apr 2022 23:00:55 -0500 Subject: Added pdfs and more alu stuff --- verilog/alu_ops.vh | 14 -------------- 1 file changed, 14 deletions(-) delete mode 100644 verilog/alu_ops.vh (limited to 'verilog/alu_ops.vh') diff --git a/verilog/alu_ops.vh b/verilog/alu_ops.vh deleted file mode 100644 index 258e124..0000000 --- a/verilog/alu_ops.vh +++ /dev/null @@ -1,14 +0,0 @@ -`ifndef ALU_OP -`define ALU_OP -`define NONE 4'b0000 -`define SLL 4'b0001 -`define SRL 4'b0010 -`define SRA 4'b0011 -`define ADD 4'b0100 -`define SUB 4'b0110 -`define AND 4'b0111 -`define OR 4'b1000 -`define XOR 4'b1001 -`define SLTU 4'b1010 -`define SLT 4'b1011 -`endif -- cgit v1.2.3