From d6b7d26cf51b4b3a7b5604f9b81bb43f55cbc33c Mon Sep 17 00:00:00 2001 From: joshua Date: Sat, 16 Apr 2022 23:00:55 -0500 Subject: Added pdfs and more alu stuff --- verilog/bench_alu.v | 23 ----------------------- 1 file changed, 23 deletions(-) delete mode 100644 verilog/bench_alu.v (limited to 'verilog/bench_alu.v') diff --git a/verilog/bench_alu.v b/verilog/bench_alu.v deleted file mode 100644 index 9c0140c..0000000 --- a/verilog/bench_alu.v +++ /dev/null @@ -1,23 +0,0 @@ -`timescale 1us/1ns - -`include "riscv_alu.v" -`include "alu_ops.vh" - -module bench_alu; - -reg [3:0] op; -reg [31:0] input1, input2; -wire [31:0] alu_out; - -riscv_alu alu0 (input1, input2, op, alu_out); - -initial begin - op=`SLT; - input1=32'hA; - input2=32'hD; - #50 - $display("\nALU OP AND: %d %16b + %d %16b = %d %b", $signed(input1), input1, $signed(input2), input2, $signed(alu_out), alu_out); - $finish; -end - -endmodule -- cgit v1.2.3