digraph "alu" { label="alu"; rankdir="LR"; remincross=true; n37 [ shape=diamond, label="result", color="black", fontcolor="black" ]; n38 [ shape=diamond, label="diff", color="black", fontcolor="black" ]; n39 [ shape=octagon, label="alu_output", color="black", fontcolor="black" ]; n40 [ shape=octagon, label="alu_op_i", color="black", fontcolor="black" ]; n41 [ shape=octagon, label="alu_in_2", color="black", fontcolor="black" ]; n42 [ shape=octagon, label="alu_in_1", color="black", fontcolor="black" ]; c46 [ shape=record, label="{{ A| B}|$35\n$or|{ Y}}" ]; v0 [ label="0" ]; c48 [ shape=record, label="{{ A| B| S}|$34\n$mux|{ Y}}" ]; v1 [ label="32'11111111111111111111111111111111" ]; c49 [ shape=record, label="{{ A| B}|$33\n$shl|{ Y}}" ]; c50 [ shape=record, label="{{ A| B}|$32\n$add|{ Y}}" ]; v3 [ label="0" ]; c51 [ shape=record, label="{{ A| B| S}|$31\n$mux|{ Y}}" ]; x2 [ shape=record, style=rounded, label=" 0:0 - 0:0 " ]; x2:e -> c51:p47:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v4 [ label="5'00001" ]; c52 [ shape=record, label="{{ A}|$30\n$pos|{ Y}}" ]; c53 [ shape=record, label="{{ A| B}|$29\n$add|{ Y}}" ]; v6 [ label="0" ]; c54 [ shape=record, label="{{ A| B| S}|$28\n$mux|{ Y}}" ]; x5 [ shape=record, style=rounded, label=" 1:1 - 0:0 " ]; x5:e -> c54:p47:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v7 [ label="5'00010" ]; c55 [ shape=record, label="{{ A}|$27\n$pos|{ Y}}" ]; c56 [ shape=record, label="{{ A| B}|$26\n$add|{ Y}}" ]; v9 [ label="0" ]; c57 [ shape=record, label="{{ A| B| S}|$25\n$mux|{ Y}}" ]; x8 [ shape=record, style=rounded, label=" 2:2 - 0:0 " ]; x8:e -> c57:p47:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v10 [ label="5'00100" ]; c58 [ shape=record, label="{{ A}|$24\n$pos|{ Y}}" ]; c59 [ shape=record, label="{{ A| B}|$23\n$add|{ Y}}" ]; v12 [ label="0" ]; c60 [ shape=record, label="{{ A| B| S}|$22\n$mux|{ Y}}" ]; x11 [ shape=record, style=rounded, label=" 3:3 - 0:0 " ]; x11:e -> c60:p47:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v13 [ label="5'01000" ]; c61 [ shape=record, label="{{ A}|$21\n$pos|{ Y}}" ]; v15 [ label="0" ]; c62 [ shape=record, label="{{ A| B| S}|$20\n$mux|{ Y}}" ]; x14 [ shape=record, style=rounded, label=" 4:4 - 0:0 " ]; x14:e -> c62:p47:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v16 [ label="5'10000" ]; c63 [ shape=record, label="{{ A}|$19\n$pos|{ Y}}" ]; v17 [ label="1'0" ]; c64 [ shape=record, label="{{ A| B}|$18\n$eq|{ Y}}" ]; x18 [ shape=record, style=rounded, label=" 31:31 - 0:0 " ]; x18:e -> c64:p43:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; c65 [ shape=record, label="{{ A| B}|$17\n$shr|{ Y}}" ]; c66 [ shape=record, label="{{ A| B| S}|$16\n$mux|{ Y}}" ]; v19 [ label="1" ]; v20 [ label="0" ]; c67 [ shape=record, label="{{ A| B| S}|$15\n$mux|{ Y}}" ]; v21 [ label="1'1" ]; c68 [ shape=record, label="{{ A| B}|$14\n$eq|{ Y}}" ]; x22 [ shape=record, style=rounded, label=" 31:31 - 0:0 " ]; x22:e -> c68:p43:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v23 [ label="0" ]; v24 [ label="1" ]; c69 [ shape=record, label="{{ A| B| S}|$13\n$mux|{ Y}}" ]; v25 [ label="1'0" ]; c70 [ shape=record, label="{{ A| B}|$12\n$eq|{ Y}}" ]; x26 [ shape=record, style=rounded, label=" 31:31 - 0:0 " ]; x26:e -> c70:p43:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; c71 [ shape=record, label="{{ A| B}|$11\n$eq|{ Y}}" ]; x27 [ shape=record, style=rounded, label=" 31:31 - 0:0 " ]; x27:e -> c71:p44:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; x28 [ shape=record, style=rounded, label=" 31:31 - 0:0 " ]; x28:e -> c71:p43:w [arrowhead=odiamond, arrowtail=odiamond, dir=both, color="black", label=""]; v29 [ label="1" ]; v30 [ label="0" ]; c72 [ shape=record, label="{{ A| B| S}|$10\n$mux|{ Y}}" ]; c73 [ shape=record, label="{{ A| B}|$9\n$lt|{ Y}}" ]; c74 [ shape=record, label="{{ A| B}|$8\n$shr|{ Y}}" ]; c75 [ shape=record, label="{{ A| B}|$7\n$shr|{ Y}}" ]; c76 [ shape=record, label="{{ A| B}|$6\n$and|{ Y}}" ]; c77 [ shape=record, label="{{ A| B}|$5\n$or|{ Y}}" ]; c78 [ shape=record, label="{{ A| B}|$4\n$sub|{ Y}}" ]; c79 [ shape=record, label="{{ A| B}|$3\n$add|{ Y}}" ]; c80 [ shape=record, label="{{ A| B}|$1\n$sub|{ Y}}" ]; p31 [shape=box, style=rounded, label="PROC $2\nalu.v:18.1-38.4"]; x32 [shape=box, style=rounded, label="BUF"]; x33 [shape=box, style=rounded, label="BUF"]; c46:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c56:p45:e -> c53:p43:w [color="black", style="setlinewidth(3)", label=""]; c57:p45:e -> c56:p44:w [color="black", style="setlinewidth(3)", label=""]; c58:p45:e -> c57:p43:w [color="black", style="setlinewidth(3)", label=""]; c59:p45:e -> c56:p43:w [color="black", style="setlinewidth(3)", label=""]; c60:p45:e -> c59:p44:w [color="black", style="setlinewidth(3)", label=""]; c61:p45:e -> c60:p43:w [color="black", style="setlinewidth(3)", label=""]; c62:p45:e -> c59:p43:w [color="black", style="setlinewidth(3)", label=""]; c63:p45:e -> c62:p43:w [color="black", style="setlinewidth(3)", label=""]; c64:p45:e -> c48:p47:w [color="black", label=""]; c65:p45:e -> c46:p43:w [color="black", style="setlinewidth(3)", label=""]; c48:p45:e -> c46:p44:w [color="black", style="setlinewidth(3)", label=""]; c66:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c67:p45:e -> c66:p43:w [color="black", style="setlinewidth(3)", label=""]; c68:p45:e -> c67:p47:w [color="black", label=""]; c69:p45:e -> c66:p44:w [color="black", style="setlinewidth(3)", label=""]; c70:p45:e -> c69:p47:w [color="black", label=""]; c71:p45:e -> c66:p47:w [color="black", label=""]; c72:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c73:p45:e -> c72:p47:w [color="black", label=""]; c74:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c75:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c49:p45:e -> c48:p43:w [color="black", style="setlinewidth(3)", label=""]; c76:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c77:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c78:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c79:p45:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; c80:p45:e -> x32:w:w [color="black", style="setlinewidth(3)", label=""]; p31:e -> n37:w [color="black", style="setlinewidth(3)", label=""]; n37:e -> x33:w:w [color="black", style="setlinewidth(3)", label=""]; x32:e:e -> n38:w [color="black", style="setlinewidth(3)", label=""]; n38:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; n38:e -> x26:s0:w [color="black", style="setlinewidth(3)", label=""]; x33:e:e -> n39:w [color="black", style="setlinewidth(3)", label=""]; c50:p45:e -> c49:p44:w [color="black", style="setlinewidth(3)", label=""]; n40:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c65:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c73:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c74:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c75:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c76:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c77:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c78:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> c79:p44:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> x11:s0:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> x14:s0:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> x27:s0:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> x2:s0:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> x5:s0:w [color="black", style="setlinewidth(3)", label=""]; n41:e -> x8:s0:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c65:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c73:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c74:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c75:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c76:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c77:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c78:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c79:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c80:p43:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> c80:p44:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> p31:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> x18:s0:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> x22:s0:w [color="black", style="setlinewidth(3)", label=""]; n42:e -> x28:s0:w [color="black", style="setlinewidth(3)", label=""]; c51:p45:e -> c50:p44:w [color="black", style="setlinewidth(3)", label=""]; c52:p45:e -> c51:p43:w [color="black", style="setlinewidth(3)", label=""]; c53:p45:e -> c50:p43:w [color="black", style="setlinewidth(3)", label=""]; c54:p45:e -> c53:p44:w [color="black", style="setlinewidth(3)", label=""]; c55:p45:e -> c54:p43:w [color="black", style="setlinewidth(3)", label=""]; v0:e -> c48:p44:w [color="black", style="setlinewidth(3)", label=""]; v1:e -> c49:p43:w [color="black", style="setlinewidth(3)", label=""]; v10:e -> c58:p43:w [color="black", style="setlinewidth(3)", label=""]; v12:e -> c60:p44:w [color="black", style="setlinewidth(3)", label=""]; v13:e -> c61:p43:w [color="black", style="setlinewidth(3)", label=""]; v15:e -> c62:p44:w [color="black", style="setlinewidth(3)", label=""]; v16:e -> c63:p43:w [color="black", style="setlinewidth(3)", label=""]; v17:e -> c64:p44:w [color="black", label=""]; v19:e -> c67:p44:w [color="black", style="setlinewidth(3)", label=""]; v20:e -> c67:p43:w [color="black", style="setlinewidth(3)", label=""]; v21:e -> c68:p44:w [color="black", label=""]; v23:e -> c69:p44:w [color="black", style="setlinewidth(3)", label=""]; v24:e -> c69:p43:w [color="black", style="setlinewidth(3)", label=""]; v25:e -> c70:p44:w [color="black", label=""]; v29:e -> c72:p44:w [color="black", style="setlinewidth(3)", label=""]; v3:e -> c51:p44:w [color="black", style="setlinewidth(3)", label=""]; v30:e -> c72:p43:w [color="black", style="setlinewidth(3)", label=""]; v4:e -> c52:p43:w [color="black", style="setlinewidth(3)", label=""]; v6:e -> c54:p44:w [color="black", style="setlinewidth(3)", label=""]; v7:e -> c55:p43:w [color="black", style="setlinewidth(3)", label=""]; v9:e -> c57:p44:w [color="black", style="setlinewidth(3)", label=""]; }