#! /usr/bin/vvp :ivl_version "11.0 (stable)" "(v11_0)"; :ivl_delay_selection "TYPICAL"; :vpi_time_precision + 0; :vpi_module "/usr/lib/ivl/system.vpi"; :vpi_module "/usr/lib/ivl/vhdl_sys.vpi"; :vpi_module "/usr/lib/ivl/vhdl_textio.vpi"; :vpi_module "/usr/lib/ivl/v2005_math.vpi"; :vpi_module "/usr/lib/ivl/va_math.vpi"; S_0x55b7886d6020 .scope module, "fpu" "fpu" 2 1; .timescale 0 0; .port_info 0 /INPUT 32 "fpu_in_1"; .port_info 1 /INPUT 32 "fpu_in_2"; .port_info 2 /OUTPUT 32 "fpu_output"; L_0x55b7886f2bf0 .functor BUFZ 32, v0x55b7886f28b0_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>; v0x55b7886d6250_0 .net *"_ivl_1", 7 0, L_0x55b7886f2a10; 1 drivers v0x55b7886f1e00_0 .net *"_ivl_3", 7 0, L_0x55b7886f2ab0; 1 drivers v0x55b7886f1ee0_0 .net *"_ivl_7", 7 0, L_0x55b7886f2cb0; 1 drivers v0x55b7886f1fa0_0 .net *"_ivl_9", 7 0, L_0x55b7886f2dd0; 1 drivers v0x55b7886f2080_0 .net "dif_exp", 7 0, L_0x55b7886f2b50; 1 drivers v0x55b7886f21b0_0 .var "exp", 7 0; o0x7f3bf390f138 .functor BUFZ 32, C4; HiZ drive v0x55b7886f2290_0 .net "fpu_in_1", 31 0, o0x7f3bf390f138; 0 drivers o0x7f3bf390f168 .functor BUFZ 32, C4; HiZ drive v0x55b7886f2370_0 .net "fpu_in_2", 31 0, o0x7f3bf390f168; 0 drivers v0x55b7886f2450_0 .net "fpu_output", 31 0, L_0x55b7886f2bf0; 1 drivers v0x55b7886f2530_0 .var "fpu_reg_1", 22 0; v0x55b7886f2610_0 .var "fpu_reg_2", 22 0; v0x55b7886f26f0_0 .var "mantis_sum", 23 0; v0x55b7886f27d0_0 .net "neg_dif_exp", 7 0, L_0x55b7886f2ec0; 1 drivers v0x55b7886f28b0_0 .var "tmp_out", 31 0; E_0x55b7886d91e0/0 .event edge, v0x55b7886f2080_0, v0x55b7886f2290_0, v0x55b7886f2530_0, v0x55b7886f27d0_0; E_0x55b7886d91e0/1 .event edge, v0x55b7886f2370_0, v0x55b7886f2610_0, v0x55b7886f26f0_0, v0x55b7886f21b0_0; E_0x55b7886d91e0 .event/or E_0x55b7886d91e0/0, E_0x55b7886d91e0/1; L_0x55b7886f2a10 .part o0x7f3bf390f138, 23, 8; L_0x55b7886f2ab0 .part o0x7f3bf390f168, 23, 8; L_0x55b7886f2b50 .arith/sub 8, L_0x55b7886f2a10, L_0x55b7886f2ab0; L_0x55b7886f2cb0 .part o0x7f3bf390f168, 23, 8; L_0x55b7886f2dd0 .part o0x7f3bf390f138, 23, 8; L_0x55b7886f2ec0 .arith/sub 8, L_0x55b7886f2cb0, L_0x55b7886f2dd0; .scope S_0x55b7886d6020; T_0 ; %wait E_0x55b7886d91e0; %load/vec4 v0x55b7886f2080_0; %parti/s 1, 7, 4; %cmpi/e 1, 0, 1; %jmp/0xz T_0.0, 4; %load/vec4 v0x55b7886f2290_0; %parti/s 23, 0, 2; %ix/load 4, 1, 0; %flag_set/imm 4, 0; %shiftr 4; %store/vec4 v0x55b7886f2530_0, 0, 23; %pushi/vec4 1, 0, 1; %ix/load 4, 22, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f2530_0, 4, 1; %load/vec4 v0x55b7886f2530_0; %load/vec4 v0x55b7886f27d0_0; %pad/u 32; %subi 1, 0, 32; %ix/vec4 4; %shiftr 4; %store/vec4 v0x55b7886f2530_0, 0, 23; %load/vec4 v0x55b7886f2370_0; %parti/s 23, 0, 2; %store/vec4 v0x55b7886f2610_0, 0, 23; %load/vec4 v0x55b7886f2370_0; %parti/s 8, 23, 6; %store/vec4 v0x55b7886f21b0_0, 0, 8; %jmp T_0.1; T_0.0 ; %load/vec4 v0x55b7886f2080_0; %cmpi/u 0, 0, 8; %flag_or 5, 4; GT is !LE %flag_inv 5; %jmp/0xz T_0.2, 5; %load/vec4 v0x55b7886f2370_0; %ix/load 4, 1, 0; %flag_set/imm 4, 0; %shiftr 4; %pad/u 23; %store/vec4 v0x55b7886f2610_0, 0, 23; %pushi/vec4 1, 0, 1; %ix/load 4, 22, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f2610_0, 4, 1; %load/vec4 v0x55b7886f2610_0; %load/vec4 v0x55b7886f2080_0; %pad/u 32; %subi 1, 0, 32; %ix/vec4 4; %shiftr 4; %store/vec4 v0x55b7886f2610_0, 0, 23; %jmp T_0.3; T_0.2 ; %load/vec4 v0x55b7886f2370_0; %parti/s 23, 0, 2; %store/vec4 v0x55b7886f2610_0, 0, 23; T_0.3 ; %load/vec4 v0x55b7886f2290_0; %parti/s 23, 0, 2; %store/vec4 v0x55b7886f2530_0, 0, 23; %load/vec4 v0x55b7886f2290_0; %parti/s 8, 23, 6; %store/vec4 v0x55b7886f21b0_0, 0, 8; T_0.1 ; %load/vec4 v0x55b7886f2530_0; %pad/u 24; %load/vec4 v0x55b7886f2610_0; %pad/u 24; %add; %store/vec4 v0x55b7886f26f0_0, 0, 24; %load/vec4 v0x55b7886f26f0_0; %parti/s 1, 23, 6; %cmpi/e 1, 0, 1; %jmp/0xz T_0.4, 4; %load/vec4 v0x55b7886f21b0_0; %addi 1, 0, 8; %store/vec4 v0x55b7886f21b0_0, 0, 8; %load/vec4 v0x55b7886f26f0_0; %ix/load 4, 1, 0; %flag_set/imm 4, 0; %shiftr 4; %store/vec4 v0x55b7886f26f0_0, 0, 24; %load/vec4 v0x55b7886f2080_0; %cmpi/e 0, 0, 8; %jmp/0xz T_0.6, 4; %pushi/vec4 1, 0, 1; %ix/load 4, 22, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f26f0_0, 4, 1; %jmp T_0.7; T_0.6 ; %pushi/vec4 0, 0, 1; %ix/load 4, 22, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f26f0_0, 4, 1; T_0.7 ; T_0.4 ; %pushi/vec4 0, 0, 1; %ix/load 4, 31, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f28b0_0, 4, 1; %load/vec4 v0x55b7886f21b0_0; %ix/load 4, 23, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f28b0_0, 4, 8; %load/vec4 v0x55b7886f26f0_0; %parti/s 23, 0, 2; %ix/load 4, 0, 0; %flag_set/imm 4, 0; %store/vec4 v0x55b7886f28b0_0, 4, 23; %jmp T_0; .thread T_0, $push; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "fpu.v";