diff options
author | Joshua Yun <joshua@joshuayun.com> | 2025-03-25 00:29:30 -0500 |
---|---|---|
committer | Joshua Yun <joshua@joshuayun.com> | 2025-03-25 00:29:30 -0500 |
commit | 86021b3616c24efe38d8869b45d201bf24fe9a07 (patch) | |
tree | 445ec3b30de9451604f12c96c18fdbb975fbaf66 | |
parent | 6bd9f4f7ab48576d3fda98bef915162a7436866d (diff) | |
download | riscv-processor-86021b3616c24efe38d8869b45d201bf24fe9a07.tar.gz |
feat: initial completion of decode stage, fix: removed hardcoded path for riscv assembly files in TB
-rw-r--r-- | core/pkg/riscv_types.sv | 24 | ||||
-rw-r--r-- | core/rtl/decode.sv | 135 | ||||
-rw-r--r-- | core/tb/core_tb_imem.sv | 2 | ||||
-rw-r--r-- | core/testcode/riscv_arithmetic_basic_test_0.S | 11748 | ||||
-rwxr-xr-x | core/testcode/riscv_arithmetic_basic_test_0.bin | bin | 0 -> 85224 bytes | |||
-rwxr-xr-x | core/testcode/riscv_arithmetic_basic_test_0.o | bin | 0 -> 90912 bytes |
6 files changed, 11891 insertions, 18 deletions
diff --git a/core/pkg/riscv_types.sv b/core/pkg/riscv_types.sv index 3d68331..48ca87b 100644 --- a/core/pkg/riscv_types.sv +++ b/core/pkg/riscv_types.sv @@ -11,4 +11,28 @@ package riscv_types; INSTR_TYPE_REG = 7'b0110011, // R arith ops with register operands INSTR_TYPE_CSR = 7'b1110011 // I control and status register } opcode_t; + + typedef enum logic [1:0] { + MEM_OP_LOAD, + MEM_OP_STORE, + MEM_OP_NONE + } mem_op_t; + + typedef enum logic [1:0] { + REG_IMM_OFFSET, + PC_IMM_OFFSET, + OFFSET_NONE + } brjmp_op_t; + + typedef enum logic [1:0] { + RS1, + PC, + OP_NONE + } aluSelOp1_t; + + typedef enum logic { + RS2, + IMM + } aluSelOp2_t; + endpackage diff --git a/core/rtl/decode.sv b/core/rtl/decode.sv index e337e11..8642013 100644 --- a/core/rtl/decode.sv +++ b/core/rtl/decode.sv @@ -7,28 +7,129 @@ import riscv_types::*; input logic rst_l, // I-MEM Interface - input logic [31:0] imem_id_instr_ID + input logic [31:0] imem_id_instr_ID, + + // Fetch Interface + input logic [31:0] imem_id_pc_ID + + // Dispatch Interface ); +// OpCode opcode_t instrOpCode_ID; -assign instrOpCode_ID = opcode_t'(imem_id_instr_ID[6:0]); +// Instruction Type +logic instrTypeR_ID; +logic instrTypeI_ID; +logic instrTypeS_ID; +logic instrTypeB_ID; +logic instrTypeU_ID; +logic instrTypeJ_ID; + + // Immediate +logic [31:0] instrImm_ID; +logic instrImmSign_ID; + +// Register Indices +logic [4:0] instrRs1Idx_ID; +logic instrRs1Vld_ID; +logic [4:0] instrRs2Idx_ID; +logic instrRs2Vld_ID; +logic [4:0] instrRdIdx_ID; +logic instrRdVld_ID; + +// Funct3 and Funct7 +logic [2:0] instrFunct3_ID; +logic instrFunct7_ID; + +// Control Bits -always_ff @(posedge clk) begin - $display("Instruction: %x", imem_id_instr_ID); - case (instrOpCode_ID) - INSTR_TYPE_LUI: begin $display("Instr type: LUI"); end - INSTR_TYPE_AUIPC: begin $display("Instr type: AUIPC"); end - INSTR_TYPE_JAL: begin $display("Instr type: JAL"); end - INSTR_TYPE_JALR: begin $display("Instr type: JALR"); end - INSTR_TYPE_BR: begin $display("Instr type: BR"); end - INSTR_TYPE_LD: begin $display("Instr type: LD"); end - INSTR_TYPE_ST: begin $display("Instr type: ST"); end - INSTR_TYPE_IMM: begin $display("Instr type: IMM"); end - INSTR_TYPE_REG: begin $display("Instr type: REG"); end - INSTR_TYPE_CSR: begin $display("Instr type: CSR"); end - default: begin $display("Instr type: Unknown"); end - endcase +// Memory Op +mem_op_t instrMemOp_ID; + +// Branch OP +brjmp_op_t instrBrJmpOp_ID; + +// ALU Operand Selection +aluSelOp1_t instrAluOp1_ID; +aluSelOp2_t instrAluOp2_ID; + +// DEBUG: Display decode instr +always_ff @(clk) begin : f_print_decode + $display("OpCode: %s", instrOpCode_ID.name()); + $display("Immediate: %0d", instrImm_ID); + $display("Memory: %s", instrMemOp_ID.name()); + $display("Branch: %s", instrBrJmpOp_ID.name()); + $display("ALU Sel 1: %s", instrAluOp1_ID.name()); + $display("ALU Sel 2: %s", instrAluOp2_ID.name()); + $display("Funct3: %0x", instrFunct3_ID); + $display("Funct7: %0x", instrFunct7_ID); end +// Instruction Type Decode +// FIXME: Add support for FENCE + ECALL + EBREAK + CSRs +assign instrTypeI_ID = (instrOpCode_ID == INSTR_TYPE_IMM) + | (instrOpCode_ID == INSTR_TYPE_JALR) + | (instrOpCode_ID == INSTR_TYPE_LD); +assign instrTypeU_ID = (instrOpCode_ID == INSTR_TYPE_LUI) + | (instrOpCode_ID == INSTR_TYPE_AUIPC); +assign instrTypeR_ID = (instrOpCode_ID == INSTR_TYPE_REG); +assign instrTypeS_ID = (instrOpCode_ID == INSTR_TYPE_ST); +assign instrTypeB_ID = (instrOpCode_ID == INSTR_TYPE_BR); +assign instrTypeJ_ID = (instrOpCode_ID == INSTR_TYPE_JAL); + +// Register Index Decode +assign instrRs1Idx_ID = imem_id_instr_ID[19:15]; +assign instrRs1Vld_ID = instrTypeR_ID | instrTypeI_ID | instrTypeS_ID | instrTypeB_ID; + +assign instrRs2Idx_ID = imem_id_instr_ID[24:20]; +assign instrRs2Vld_ID = instrTypeR_ID | instrTypeS_ID | instrTypeB_ID; + +assign instrRdIdx_ID = imem_id_instr_ID[11:7]; +assign instrRdVld_ID = instrTypeR_ID | instrTypeI_ID | instrTypeU_ID | instrTypeJ_ID; + +// Func Bit decode +assign instrFunct7_ID = imem_id_instr_ID[30]; +assign instrFunct3_ID = imem_id_instr_ID[14:12]; + +// Instruction Type (OpCode) Decode +assign instrImmSign_ID = imem_id_instr_ID[31]; +assign instrOpCode_ID = opcode_t'(imem_id_instr_ID[6:0]); + +// Immediate Decode +assign instrImm_ID[0] = instrTypeJ_ID ? imem_id_instr_ID[25] : // Bit 25 for I-Type + instrTypeS_ID ? imem_id_instr_ID[7] : // Bit 7 for S-Type + '0; // All other instructions set to 0 + +assign instrImm_ID[4:1] = instrTypeI_ID ? imem_id_instr_ID[24:21] : // I-Type bits + (instrTypeS_ID | instrTypeB_ID) ? imem_id_instr_ID[11:8] : // S-Type + B-Type bits + '0; // All other instructions set to 0 + +assign instrImm_ID[10:5] = instrTypeU_ID ? '0 : imem_id_instr_ID[30:25]; // Only J type is an immediate instruction that doesn't have it in 30:25 + + +assign instrImm_ID[11] = (instrTypeI_ID | instrTypeS_ID) ? imem_id_instr_ID[31] : + (instrTypeB_ID) ? imem_id_instr_ID[7] : + (instrTypeJ_ID) ? imem_id_instr_ID[20] : + '0; + +assign instrImm_ID[19:12] = (instrTypeU_ID | instrTypeJ_ID) ? imem_id_instr_ID[19:12] : { 8{instrImmSign_ID}}; // Only J and U types use 19:12 as not sign bit +assign instrImm_ID[31:20] = (instrTypeU_ID) ? imem_id_instr_ID[31:20] : {12{instrImmSign_ID}}; // Only U type use 31:20 as not sign bit + +// Control Bits +assign instrMemOp_ID = (instrOpCode_ID == INSTR_TYPE_ST) ? MEM_OP_STORE : + (instrOpCode_ID == INSTR_TYPE_LD) ? MEM_OP_LOAD : + MEM_OP_NONE; + +assign instrBrJmpOp_ID = (instrOpCode_ID == INSTR_TYPE_JALR) ? REG_IMM_OFFSET : + (instrOpCode_ID == INSTR_TYPE_BR | instrOpCode_ID == INSTR_TYPE_JAL) ? PC_IMM_OFFSET : + OFFSET_NONE; + +assign instrAluOp1_ID = (instrOpCode_ID == INSTR_TYPE_AUIPC) | (instrOpCode_ID == INSTR_TYPE_JAL) ? PC : // Loading PC for AUIPC, PC Offset for JAL + (instrOpCode_ID == INSTR_TYPE_LUI) ? OP_NONE : // Only loading immediate for LUI + RS1; // All else use RS1 value + +assign instrAluOp2_ID = (instrOpCode_ID == INSTR_TYPE_REG) ? RS2 : IMM; // Only R2R needs non-immediate op in ALU + + endmodule diff --git a/core/tb/core_tb_imem.sv b/core/tb/core_tb_imem.sv index 6d3fa92..740be8a 100644 --- a/core/tb/core_tb_imem.sv +++ b/core/tb/core_tb_imem.sv @@ -21,7 +21,7 @@ logic [7:0] imem [0:(1<<(ADDR_WIDTH))-1]; // Fill up memory using the $fopen and $fread syscalls initial begin - assembly_file = $fopen("/home/joshua/Personal/riscv-linux/core/tb/riscv_arithmetic_basic_test_0.bin", "rb"); + assembly_file = $fopen("../../../core/testcode/riscv_arithmetic_basic_test_0.bin", "rb"); status_file = $fread( imem, assembly_file ); if (status_file == 0) begin $ferror( assembly_file, error_message_file ); diff --git a/core/testcode/riscv_arithmetic_basic_test_0.S b/core/testcode/riscv_arithmetic_basic_test_0.S new file mode 100644 index 0000000..3fa840a --- /dev/null +++ b/core/testcode/riscv_arithmetic_basic_test_0.S @@ -0,0 +1,11748 @@ +.include "user_define.h" +.globl _start +.section .text +.option norvc; +_start: + .include "user_init.s" + csrr x5, 0xf14 + li x6, 0 + beq x5, x6, 0f + +0: la x4, h0_start +jalr x0, x4, 0 +h0_start: + li x5, 0x40000100 + csrw 0x301, x5 +kernel_sp: + la x8, kernel_stack_end + +trap_vec_init: + la x5, mtvec_handler + ori x5, x5, 0 + csrw 0x305, x5 # MTVEC + +mepc_setup: + la x5, init + csrw 0x341, x5 + +custom_csr_setup: + nop + +init_machine_mode: + li x5, 0x1800 + csrw 0x300, x5 # MSTATUS + li x5, 0x0 + csrw 0x304, x5 # MIE + mret +init: + li x0, 0xe + li x1, 0x0 + li x2, 0x4acc1f3 + li x3, 0x2 + li x4, 0xfaf101a9 + li x5, 0x80000000 + li x6, 0x25f1d5f2 + li x7, 0x80000000 + li x9, 0x80000000 + li x10, 0x0 + li x11, 0x1cbf4d72 + li x12, 0x0 + li x13, 0xffb9a2d3 + li x14, 0x4e3f2b5 + li x15, 0x80000000 + li x16, 0xdaf90ccb + li x17, 0xff4d9b6a + li x18, 0x0 + li x19, 0xb6f0a68a + li x21, 0x8e33aec6 + li x22, 0x7 + li x23, 0xff3f47b8 + li x24, 0x0 + li x25, 0xf + li x26, 0x0 + li x27, 0x0 + li x28, 0x80000000 + li x29, 0xa + li x30, 0x2 + li x31, 0x9e31ee35 + la x20, user_stack_end +main: li a4, 0x80000000 #start riscv_int_numeric_corner_stream_23 + li s8, 0x6c3ae85d + li a0, 0x66762701 + li gp, 0x0 + li a6, 0x314ad926 + li t3, 0xffffffff + li sp, 0x0 + li a7, 0x0 + li s6, 0xffffffff + li t0, 0xffffffff + add a7, t0, gp + nop + lui a6, 588415 + lui a6, 588415 + lui a6, 588415 + nop + addi a0, gp, -1289 + lui a6, 588415 + auipc gp, 345872 + sub a7, t3, gp + lui a6, 588415 + lui a6, 588415 + nop + sub a7, t3, gp + add a7, t0, gp + add a7, t0, gp + nop + auipc gp, 345872 + nop + add a7, t0, gp + addi a0, gp, -1289 + auipc gp, 345872 + auipc gp, 345872 #end riscv_int_numeric_corner_stream_23 + li a1, 0x0 #start riscv_int_numeric_corner_stream_35 + li a6, 0x0 + li a7, 0x0 + li gp, 0x80000000 + li s11, 0xbbc44066 + li a3, 0xffffffff + li t4, 0x0 + li a0, 0x8b55c87a + li s7, 0x0 + li a2, 0x80000000 + lui a6, 588415 + lui a6, 588415 + lui a6, 588415 + addi a7, a1, -1289 + auipc a1, 345872 + add s7, a2, s7 + nop + nop + auipc a1, 345872 + nop + addi a7, a1, -1289 + auipc a1, 345872 + sub a7, t4, a1 + sub a7, t4, a1 + add s7, a2, s7 + addi a7, a1, -1289 + add s7, a2, s7 #end riscv_int_numeric_corner_stream_35 + li gp, 0x8a15f2cb #start riscv_int_numeric_corner_stream_17 + li s6, 0x80000000 + li s11, 0x886eda6c + li s1, 0xffffffff + li t6, 0xba4b0cfa + li t2, 0xffffffff + li s2, 0x0 + li ra, 0xffffffff + li s9, 0xffffffff + li t3, 0x1d5e756 + sub s9, t3, s9 + sub s9, t3, s9 + auipc t2, 345872 + sub s9, t3, s9 + auipc t2, 345872 + lui s2, 588415 + add s11, gp, t2 + addi t2, s11, -1289 + lui s2, 588415 + lui s2, 588415 + auipc t2, 345872 + add s11, gp, t2 + addi t2, s11, -1289 + nop + add s11, gp, t2 + lui s2, 588415 + addi t2, s11, -1289 + add s11, gp, t2 + auipc t2, 345872 + nop + sub s9, t3, s9 + add s11, gp, t2 + lui s2, 588415 + auipc t2, 345872 + lui s2, 588415 + nop + lui s2, 588415 + auipc t2, 345872 #end riscv_int_numeric_corner_stream_17 + li a6, 0x0 #start riscv_int_numeric_corner_stream_33 + li s3, 0xffffffff + li s5, 0x80000000 + li s11, 0x0 + li a4, 0x80000000 + li a1, 0x0 + li ra, 0xffffffff + li s7, 0x80000000 + li a7, 0x0 + li s9, 0x527d15ac + add s5, s11, s7 + addi a7, a1, -1289 + add s5, s11, s7 + auipc a1, 345872 + auipc a1, 345872 + nop + addi a7, a1, -1289 + nop + lui a6, 588415 + auipc a1, 345872 + auipc a1, 345872 + nop + sub a7, s7, s9 + lui a6, 588415 + auipc a1, 345872 + lui a6, 588415 + lui a6, 588415 + addi a7, a1, -1289 + addi a7, a1, -1289 + lui a6, 588415 + add s5, s11, s7 + lui a6, 588415 + lui a6, 588415 + sub a7, s7, s9 + sub a7, s7, s9 + addi a7, a1, -1289 + lui a6, 588415 + add s5, s11, s7 #end riscv_int_numeric_corner_stream_33 + li a6, 0x8c006a4b #start riscv_int_numeric_corner_stream_39 + li t5, 0x0 + li s11, 0x80000000 + li a2, 0xd217c2bc + li t6, 0x480311c8 + li a0, 0xf238f48d + li ra, 0xe0eb19b5 + li t3, 0x553b218d + li a3, 0xffffffff + li a4, 0x80000000 + add a6, t6, a4 + lui a6, 588415 + auipc a3, 345872 + auipc a3, 345872 + addi ra, s11, -1289 + add a6, t6, a4 + nop + add a6, t6, a4 + auipc a3, 345872 + auipc a3, 345872 + lui a6, 588415 + sub a6, t5, s11 + sub a6, t5, s11 + auipc a3, 345872 + auipc a3, 345872 + addi ra, s11, -1289 + add a6, t6, a4 + sub a6, t5, s11 + lui a6, 588415 + lui a6, 588415 + auipc a3, 345872 + addi ra, s11, -1289 + nop + nop #end riscv_int_numeric_corner_stream_39 + li sp, 0x80000000 #start riscv_int_numeric_corner_stream_25 + li a0, 0x198c5cf0 + li t1, 0x80000000 + li t4, 0x0 + li a1, 0x80000000 + li a3, 0x1a2bad02 + li s11, 0xb166d90a + li a4, 0x0 + li a6, 0x82796417 + li gp, 0xffffffff + nop + auipc a1, 345872 + sub gp, t4, a1 + addi a6, a1, -1289 + auipc a1, 345872 + addi a6, a1, -1289 + addi a6, a1, -1289 + sub gp, t4, a1 + auipc a1, 345872 + sub gp, t4, a1 + add a6, s11, t1 + auipc a1, 345872 + addi a6, a1, -1289 + auipc a1, 345872 + lui a6, 588415 + sub gp, t4, a1 + sub gp, t4, a1 + lui a6, 588415 + auipc a1, 345872 + addi a6, a1, -1289 + auipc a1, 345872 + sub gp, t4, a1 + nop + addi a6, a1, -1289 + nop + addi a6, a1, -1289 + add a6, s11, t1 + auipc a1, 345872 + addi a6, a1, -1289 + auipc a1, 345872 #end riscv_int_numeric_corner_stream_25 + li gp, 0x80000000 #start riscv_int_numeric_corner_stream_10 + li a0, 0x0 + li s1, 0x0 + li s5, 0x0 + li a6, 0xffffffff + li s6, 0xc152e74a + li a7, 0xbebf36ec + li s11, 0x4141cd1e + li s9, 0xffffffff + li t0, 0x24f6217e + nop + nop + addi s6, s11, -1289 + add a6, s11, gp + lui a6, 588415 + add a6, s11, gp + sub s5, s9, s9 + auipc s1, 345872 + sub s5, s9, s9 + nop + auipc s1, 345872 + sub s5, s9, s9 + auipc s1, 345872 + nop + sub s5, s9, s9 + nop + auipc s1, 345872 + lui a6, 588415 #end riscv_int_numeric_corner_stream_10 + li t6, 0x7b271c4f #start riscv_int_numeric_corner_stream_28 + li a5, 0x80000000 + li s7, 0xffffffff + li t1, 0x0 + li s5, 0xffffffff + li a6, 0x80000000 + li s6, 0x689eb6f7 + li ra, 0x9b563a02 + li t2, 0x6e642a54 + li s1, 0xffffffff + addi a6, s1, -1289 + auipc a5, 345872 + nop + addi a6, s1, -1289 + lui a6, 588415 + addi a6, s1, -1289 + addi a6, s1, -1289 + addi a6, s1, -1289 + nop + lui a6, 588415 + lui a6, 588415 + auipc a5, 345872 + auipc a5, 345872 + addi a6, s1, -1289 + sub t6, s5, s1 + nop + add s7, s7, a5 + add s7, s7, a5 + add s7, s7, a5 + auipc a5, 345872 + auipc a5, 345872 + auipc a5, 345872 + addi a6, s1, -1289 + add s7, s7, a5 + addi a6, s1, -1289 + add s7, s7, a5 + lui a6, 588415 + lui a6, 588415 + sub t6, s5, s1 #end riscv_int_numeric_corner_stream_28 + li a2, 0x8d5ca3ce #start riscv_int_numeric_corner_stream_31 + li t2, 0x8a7730cf + li s11, 0xd7a9adef + li s8, 0x6aab4945 + li t1, 0xffffffff + li s7, 0xffffffff + li t0, 0x0 + li a0, 0x0 + li s5, 0x0 + li t6, 0x8176bf77 + lui s5, 588415 + nop + auipc t2, 345872 + nop + addi a0, s11, -1289 + addi a0, s11, -1289 + add s7, s11, s7 + sub t6, s8, s11 + nop + lui s5, 588415 + add s7, s11, s7 + lui s5, 588415 + addi a0, s11, -1289 + add s7, s11, s7 + addi a0, s11, -1289 #end riscv_int_numeric_corner_stream_31 + li s8, 0x0 #start riscv_int_numeric_corner_stream_3 + li t4, 0xffffffff + li sp, 0x80000000 + li a4, 0x7070c2a2 + li gp, 0x0 + li s2, 0x663944f2 + li s11, 0x657770a7 + li t1, 0x0 + li s7, 0xffffffff + li s6, 0xffffffff + sub gp, s6, s11 + nop + nop + addi gp, s11, -1289 + add s8, a4, s7 + sub gp, s6, s11 + sub gp, s6, s11 + addi gp, s11, -1289 + nop + auipc a4, 345872 + auipc a4, 345872 + sub gp, s6, s11 + nop + lui s2, 588415 + lui s2, 588415 + addi gp, s11, -1289 + nop + sub gp, s6, s11 + add s8, a4, s7 + nop + nop + addi gp, s11, -1289 + sub gp, s6, s11 #end riscv_int_numeric_corner_stream_3 + li s2, 0xffffffff #start riscv_int_numeric_corner_stream_21 + li t5, 0x80000000 + li s8, 0x44f70868 + li a3, 0x80000000 + li s6, 0x25843af6 + li gp, 0xb11b5722 + li t3, 0x0 + li t0, 0x0 + li a4, 0x80000000 + li ra, 0x0 + nop + addi gp, gp, -1289 + auipc a3, 345872 + nop + auipc a3, 345872 + addi gp, gp, -1289 + lui s2, 588415 + addi gp, gp, -1289 + addi gp, gp, -1289 + add s8, a3, gp + lui s2, 588415 + sub gp, t3, gp + sub gp, t3, gp + add s8, a3, gp + lui s2, 588415 + auipc a3, 345872 + sub gp, t3, gp + lui s2, 588415 + lui s2, 588415 + lui s2, 588415 + addi gp, gp, -1289 #end riscv_int_numeric_corner_stream_21 + li s2, 0xffffffff #start riscv_int_numeric_corner_stream_16 + li a1, 0xffffffff + li t1, 0x80000000 + li s9, 0xffffffff + li a2, 0x80000000 + li a4, 0x80000000 + li a6, 0x80000000 + li t6, 0x0 + li t4, 0x0 + li a3, 0x80000000 + auipc a1, 345872 + nop + nop + sub a1, s2, a1 + lui a6, 588415 + addi a1, a1, -1289 + nop + addi a1, a1, -1289 + add t6, t4, s2 + add t6, t4, s2 + nop + addi a1, a1, -1289 + lui a6, 588415 + lui a6, 588415 + auipc a1, 345872 + add t6, t4, s2 + sub a1, s2, a1 + nop + lui a6, 588415 + sub a1, s2, a1 + lui a6, 588415 + lui a6, 588415 #end riscv_int_numeric_corner_stream_16 + li t4, 0xfdbdbf2 #start riscv_int_numeric_corner_stream_37 + li t1, 0x4282032b + li s1, 0x6f8c33fc + li s7, 0xffffffff + li s9, 0x80000000 + li t5, 0x80000000 + li a1, 0x3b03dede + li ra, 0x0 + li s11, 0x80000000 + li a5, 0xffffffff + lui s7, 588415 + nop + auipc a5, 345872 + addi s7, s11, -1289 + nop + addi s7, s11, -1289 + nop + addi s7, s11, -1289 + addi s7, s11, -1289 + sub t4, t5, s11 + lui s7, 588415 + addi s7, s11, -1289 + add s9, s11, s7 + addi s7, s11, -1289 + auipc a5, 345872 #end riscv_int_numeric_corner_stream_37 + li a3, 0x0 #start riscv_int_numeric_corner_stream_38 + li t1, 0x0 + li s10, 0x87f480c5 + li s1, 0x80000000 + li s5, 0x80000000 + li a6, 0x4822dcf0 + li sp, 0xf96b1ec7 + li s2, 0x5ef96542 + li s6, 0xffffffff + li a0, 0x80000000 + addi s2, s1, -1289 + lui a6, 588415 + auipc a3, 345872 + auipc a3, 345872 + sub s10, s5, s1 + addi s2, s1, -1289 + lui a6, 588415 + lui a6, 588415 + add s10, s5, t1 + add s10, s5, t1 + auipc a3, 345872 + add s10, s5, t1 + sub s10, s5, s1 + sub s10, s5, s1 + add s10, s5, t1 + auipc a3, 345872 + auipc a3, 345872 + nop + addi s2, s1, -1289 + lui a6, 588415 + addi s2, s1, -1289 + sub s10, s5, s1 + auipc a3, 345872 + add s10, s5, t1 + lui a6, 588415 + add s10, s5, t1 + add s10, s5, t1 + sub s10, s5, s1 #end riscv_int_numeric_corner_stream_38 + li s5, 0xffffffff #start riscv_int_numeric_corner_stream_20 + li s6, 0x857d2418 + li a0, 0x0 + li t6, 0xffffffff + li s9, 0x0 + li a1, 0x0 + li s11, 0x0 + li t5, 0x0 + li t4, 0xffffffff + li s2, 0x0 + auipc a1, 345872 + auipc a1, 345872 + add s11, s11, s6 + addi t5, s11, -1289 + add s11, s11, s6 + addi t5, s11, -1289 + sub s5, s6, a1 + auipc a1, 345872 + add s11, s11, s6 + sub s5, s6, a1 + sub s5, s6, a1 + sub s5, s6, a1 + nop + lui s5, 588415 + sub s5, s6, a1 + sub s5, s6, a1 + lui s5, 588415 + nop + auipc a1, 345872 + addi t5, s11, -1289 + lui s5, 588415 + nop + add s11, s11, s6 + lui s5, 588415 #end riscv_int_numeric_corner_stream_20 + li a1, 0xffffffff #start riscv_int_numeric_corner_stream_29 + li ra, 0x80000000 + li s10, 0xffffffff + li a4, 0x80000000 + li t4, 0xf474fe93 + li t5, 0x80000000 + li s3, 0x0 + li a5, 0xffffffff + li t0, 0x0 + li s11, 0x80000000 + auipc a5, 345872 + nop + sub s3, t4, s11 + auipc a5, 345872 + lui s3, 588415 + auipc a5, 345872 + add s3, s10, s3 + add s3, s10, s3 + addi t0, a1, -1289 + add s3, s10, s3 + sub s3, t4, s11 + addi t0, a1, -1289 + nop + auipc a5, 345872 + add s3, s10, s3 + auipc a5, 345872 + add s3, s10, s3 + sub s3, t4, s11 + nop + sub s3, t4, s11 #end riscv_int_numeric_corner_stream_29 + li s6, 0xffffffff #start riscv_int_numeric_corner_stream_9 + li a7, 0x0 + li a0, 0x89297fd9 + li t0, 0x80000000 + li s5, 0x80000000 + li t3, 0x7468e158 + li ra, 0x80000000 + li s3, 0x0 + li t5, 0xffffffff + li gp, 0x0 + lui a7, 588415 + sub s3, s6, gp + lui a7, 588415 + auipc gp, 345872 + nop + auipc gp, 345872 + auipc gp, 345872 + nop + sub s3, s6, gp + lui a7, 588415 + add t3, t0, s3 + auipc gp, 345872 + sub s3, s6, gp + auipc gp, 345872 + lui a7, 588415 + nop + lui a7, 588415 + lui a7, 588415 + sub s3, s6, gp + sub s3, s6, gp + sub s3, s6, gp + add t3, t0, s3 + sub s3, s6, gp + addi s5, s3, -1289 + sub s3, s6, gp + add t3, t0, s3 + addi s5, s3, -1289 + add t3, t0, s3 + addi s5, s3, -1289 + nop #end riscv_int_numeric_corner_stream_9 + li t2, 0x21d9e129 #start riscv_int_numeric_corner_stream_8 + li s3, 0x80000000 + li s7, 0xffffffff + li s2, 0xddcac513 + li a2, 0xffffffff + li t0, 0xffffffff + li t1, 0xffffffff + li s8, 0x315000e1 + li t3, 0x80000000 + li t6, 0xe9e1ea19 + lui s2, 588415 + addi s3, s3, -1289 + add t0, t0, t2 + nop + nop + lui s2, 588415 + add t0, t0, t2 + addi s3, s3, -1289 + addi s3, s3, -1289 + lui s2, 588415 + lui s2, 588415 + addi s3, s3, -1289 + sub s3, t3, s3 + lui s2, 588415 + nop + auipc a2, 345872 + addi s3, s3, -1289 + nop + addi s3, s3, -1289 + lui s2, 588415 + add t0, t0, t2 + nop + addi s3, s3, -1289 + add t0, t0, t2 #end riscv_int_numeric_corner_stream_8 + li t0, 0x6f0be0d1 #start riscv_int_numeric_corner_stream_34 + li t1, 0x7f60480b + li s8, 0xbddded04 + li a5, 0xffffffff + li a0, 0xffffffff + li a7, 0x80000000 + li s2, 0x0 + li a6, 0x0 + li s3, 0xffffffff + li t6, 0x80000000 + add s3, a5, s3 + sub a0, s8, s3 + sub a0, s8, s3 + addi a0, s3, -1289 + auipc a5, 345872 + addi a0, s3, -1289 + lui a6, 588415 + auipc a5, 345872 + lui a6, 588415 + addi a0, s3, -1289 + lui a6, 588415 + sub a0, s8, s3 + lui a6, 588415 + addi a0, s3, -1289 + add s3, a5, s3 + lui a6, 588415 #end riscv_int_numeric_corner_stream_34 + li sp, 0x0 #start riscv_int_numeric_corner_stream_6 + li s2, 0x80000000 + li t0, 0xffffffff + li ra, 0x80000000 + li s1, 0xa1107788 + li a5, 0x0 + li a6, 0xc26f753c + li t2, 0xffffffff + li a2, 0x0 + li a4, 0x80000000 + addi s1, s1, -1289 + addi s1, s1, -1289 + auipc a5, 345872 + auipc a5, 345872 + auipc a5, 345872 + auipc a5, 345872 + add a6, a5, t2 + sub s2, a6, s1 + add a6, a5, t2 + lui a6, 588415 + lui a6, 588415 + auipc a5, 345872 + sub s2, a6, s1 + nop + add a6, a5, t2 + nop + auipc a5, 345872 + addi s1, s1, -1289 + addi s1, s1, -1289 #end riscv_int_numeric_corner_stream_6 + slti t6, t2, -1613 + sra s3, s0, a5 + sra s3, s0, a5 + addi a7, a1, -1289 + nop + sub s1, t5, s9 + nop + andi a3, t2, 1872 + nop + auipc a5, 345872 + nop + addi a7, a1, -1289 + slti t6, t2, -1613 + slti t6, t2, -1613 + sltu s6, s6, s5 + sltu s6, s6, s5 + and a5, t4, t6 + sra s3, s0, a5 + auipc a5, 345872 + nop + sra s3, s0, a5 + sltiu zero, s2, 1133 + lui a6, 588415 + add s10, t0, t2 + slti t6, t2, -1613 + ori s3, s0, -107 + srli s3, s9, 13 + auipc a5, 345872 + srli s3, s9, 13 + nop + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + srai a0, s9, 31 + sll t5, a2, zero + xori s8, s5, 235 + xori s8, s5, 235 + sub s1, t5, s9 + xor a4, s1, a5 + or s7, a2, s3 + ori s3, s0, -107 + nop + sll t5, a2, zero + srai a0, s9, 31 + srl a4, s11, gp + sltiu zero, s2, 1133 + slti t6, t2, -1613 + ori s3, s0, -107 + sltiu zero, s2, 1133 + sll t5, a2, zero + slt t0, s7, t6 + sltu s6, s6, s5 + addi a7, a1, -1289 + sll t5, a2, zero + slti t6, t2, -1613 + and a5, t4, t6 + and a5, t4, t6 + xor a4, s1, a5 + and a5, t4, t6 + srai a0, s9, 31 + slti t6, t2, -1613 + and a5, t4, t6 + sub s1, t5, s9 + srl a4, s11, gp + lui a6, 588415 + andi a3, t2, 1872 + srai a0, s9, 31 + xor a4, s1, a5 + sltiu zero, s2, 1133 + add s10, t0, t2 + or s7, a2, s3 + lui a6, 588415 + add s10, t0, t2 + nop + sltiu zero, s2, 1133 + nop + sltiu zero, s2, 1133 + lui a6, 588415 + srai a0, s9, 31 + andi a3, t2, 1872 + nop + srl a4, s11, gp + sltu s6, s6, s5 + xor a4, s1, a5 + sra s3, s0, a5 + and a5, t4, t6 + slti t6, t2, -1613 + auipc a5, 345872 + lui a6, 588415 + xori s8, s5, 235 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + sltu s6, s6, s5 + or s7, a2, s3 + slti t6, t2, -1613 + xori s8, s5, 235 + lui a6, 588415 + addi a7, a1, -1289 + srai a0, s9, 31 + srai a0, s9, 31 + sltu s6, s6, s5 + slli ra, s1, 16 + ori s3, s0, -107 + lui a6, 588415 + srli s3, s9, 13 + xori s8, s5, 235 + add s10, t0, t2 + slt t0, s7, t6 + sra s3, s0, a5 + xori s8, s5, 235 + ori s3, s0, -107 + xor a4, s1, a5 + nop + sll t5, a2, zero + xori s8, s5, 235 + sll t5, a2, zero + nop + srli s3, s9, 13 + andi a3, t2, 1872 + srai a0, s9, 31 + sub s1, t5, s9 + andi a3, t2, 1872 + srai a0, s9, 31 + auipc a5, 345872 + or s7, a2, s3 + sltiu zero, s2, 1133 + srli s3, s9, 13 + or s7, a2, s3 + xori s8, s5, 235 + sra s3, s0, a5 + lui a6, 588415 + sub s1, t5, s9 + sll t5, a2, zero + slti t6, t2, -1613 + srl a4, s11, gp + srai a0, s9, 31 + xor a4, s1, a5 + slti t6, t2, -1613 + auipc a5, 345872 + srl a4, s11, gp + lui a6, 588415 + slli ra, s1, 16 + srai a0, s9, 31 + srai a0, s9, 31 + sra s3, s0, a5 + nop + slt t0, s7, t6 + lui a6, 588415 + xori s8, s5, 235 + ori s3, s0, -107 + nop + nop + srli s3, s9, 13 + slli ra, s1, 16 + slt t0, s7, t6 + andi a3, t2, 1872 + srl a4, s11, gp + nop + sra s3, s0, a5 + xor a4, s1, a5 + xori s8, s5, 235 + sltiu zero, s2, 1133 + xor a4, s1, a5 + srli s3, s9, 13 + addi a7, a1, -1289 + lui a6, 588415 + sltu s6, s6, s5 + srl a4, s11, gp + sra s3, s0, a5 + lui a6, 588415 + add s10, t0, t2 + sll t5, a2, zero + srl a4, s11, gp + sltu s6, s6, s5 + srli s3, s9, 13 + xori s8, s5, 235 + add s10, t0, t2 + srli s3, s9, 13 + slti t6, t2, -1613 + slt t0, s7, t6 + ori s3, s0, -107 + slli ra, s1, 16 + ori s3, s0, -107 + nop + or s7, a2, s3 + slt t0, s7, t6 + auipc a5, 345872 + sltu s6, s6, s5 + sll t5, a2, zero + sll t5, a2, zero + lui a6, 588415 + srl a4, s11, gp + or s7, a2, s3 + slli ra, s1, 16 + sll t5, a2, zero + lui a6, 588415 + andi a3, t2, 1872 + and a5, t4, t6 + xor a4, s1, a5 + andi a3, t2, 1872 + add s10, t0, t2 + srli s3, s9, 13 + srai a0, s9, 31 + slti t6, t2, -1613 + slt t0, s7, t6 + slli ra, s1, 16 + addi a7, a1, -1289 + sll t5, a2, zero + sub s1, t5, s9 + add s10, t0, t2 + add s10, t0, t2 + srl a4, s11, gp + slti t6, t2, -1613 + sra s3, s0, a5 + sll t5, a2, zero + xori s8, s5, 235 + sltiu zero, s2, 1133 + sll t5, a2, zero + sltu s6, s6, s5 + slti t6, t2, -1613 + srli s3, s9, 13 + sltu s6, s6, s5 + add s10, t0, t2 + andi a3, t2, 1872 + nop + andi a3, t2, 1872 + and a5, t4, t6 + srli s3, s9, 13 + slti t6, t2, -1613 + andi a3, t2, 1872 + or s7, a2, s3 + ori s3, s0, -107 + or s7, a2, s3 + ori s3, s0, -107 + sltu s6, s6, s5 + auipc a5, 345872 + sltu s6, s6, s5 + lui a6, 588415 + nop + slti t6, t2, -1613 + srl a4, s11, gp + sltu s6, s6, s5 + xor a4, s1, a5 + ori s3, s0, -107 + add s10, t0, t2 + nop + srl a4, s11, gp + ori s3, s0, -107 + slti t6, t2, -1613 + srl a4, s11, gp + srai a0, s9, 31 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + auipc a5, 345872 + slli ra, s1, 16 + auipc a5, 345872 + srl a4, s11, gp + sltu s6, s6, s5 + addi a7, a1, -1289 + and a5, t4, t6 + xor a4, s1, a5 + srai a0, s9, 31 + add s10, t0, t2 + srai a0, s9, 31 + sltu s6, s6, s5 + ori s3, s0, -107 + slli ra, s1, 16 + srai a0, s9, 31 + and a5, t4, t6 + add s10, t0, t2 + sltu s6, s6, s5 + addi a7, a1, -1289 + addi a7, a1, -1289 + slli ra, s1, 16 + sll t5, a2, zero + slti t6, t2, -1613 + slt t0, s7, t6 + addi a7, a1, -1289 + slt t0, s7, t6 + addi a7, a1, -1289 + srl a4, s11, gp + addi a7, a1, -1289 + lui a6, 588415 + slt t0, s7, t6 + sltiu zero, s2, 1133 + srai a0, s9, 31 + sra s3, s0, a5 + auipc a5, 345872 + xori s8, s5, 235 + sltu s6, s6, s5 + addi a7, a1, -1289 + xor a4, s1, a5 + sll t5, a2, zero + nop + srl a4, s11, gp + xori s8, s5, 235 + sra s3, s0, a5 + srai a0, s9, 31 + andi a3, t2, 1872 + xori s8, s5, 235 + sltu s6, s6, s5 + ori s3, s0, -107 + sltu s6, s6, s5 + xor a4, s1, a5 + slti t6, t2, -1613 + sll t5, a2, zero + slli ra, s1, 16 + ori s3, s0, -107 + and a5, t4, t6 + sra s3, s0, a5 + add s10, t0, t2 + andi a3, t2, 1872 + sltu s6, s6, s5 + slt t0, s7, t6 + sltu s6, s6, s5 + srai a0, s9, 31 + addi a7, a1, -1289 + srli s3, s9, 13 + sub s1, t5, s9 + slti t6, t2, -1613 + andi a3, t2, 1872 + srl a4, s11, gp + srli s3, s9, 13 + srl a4, s11, gp + slt t0, s7, t6 + sll t5, a2, zero + xor a4, s1, a5 + addi a7, a1, -1289 + nop + add s10, t0, t2 + lui a6, 588415 + xor a4, s1, a5 + srl a4, s11, gp + sra s3, s0, a5 + srai a0, s9, 31 + srl a4, s11, gp + sub s1, t5, s9 + xori s8, s5, 235 + or s7, a2, s3 + add s10, t0, t2 + or s7, a2, s3 + srai a0, s9, 31 + sll t5, a2, zero + sll t5, a2, zero + srai a0, s9, 31 + sra s3, s0, a5 + sltiu zero, s2, 1133 + srli s3, s9, 13 + sub s1, t5, s9 + sub s1, t5, s9 + or s7, a2, s3 + add s10, t0, t2 + xori s8, s5, 235 + sltu s6, s6, s5 + nop + andi a3, t2, 1872 + sra s3, s0, a5 + lui a6, 588415 + ori s3, s0, -107 + srli s3, s9, 13 + ori s3, s0, -107 + and a5, t4, t6 + add s10, t0, t2 + andi a3, t2, 1872 + add s10, t0, t2 + nop + xor a4, s1, a5 + sltu s6, s6, s5 + sll t5, a2, zero + srai a0, s9, 31 + srli s3, s9, 13 + sltiu zero, s2, 1133 + add s10, t0, t2 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + and a5, t4, t6 + slli ra, s1, 16 + or s7, a2, s3 + srli s3, s9, 13 + lui a6, 588415 + srl a4, s11, gp + xor a4, s1, a5 + srai a0, s9, 31 + sll t5, a2, zero + ori s3, s0, -107 + addi a7, a1, -1289 + addi a7, a1, -1289 + slli ra, s1, 16 + srl a4, s11, gp + auipc a5, 345872 + auipc a5, 345872 + or s7, a2, s3 + addi a7, a1, -1289 + slli ra, s1, 16 + slli ra, s1, 16 + or s7, a2, s3 + srli s3, s9, 13 + andi a3, t2, 1872 + nop + sltiu zero, s2, 1133 + ori s3, s0, -107 + slli ra, s1, 16 + sra s3, s0, a5 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + add s10, t0, t2 + sub s1, t5, s9 + auipc a5, 345872 + nop + or s7, a2, s3 + lui a6, 588415 + or s7, a2, s3 + sra s3, s0, a5 + slt t0, s7, t6 + nop + sltiu zero, s2, 1133 + srai a0, s9, 31 + srli s3, s9, 13 + ori s3, s0, -107 + or s7, a2, s3 + sra s3, s0, a5 + auipc a5, 345872 + xori s8, s5, 235 + add s10, t0, t2 + andi a3, t2, 1872 + xori s8, s5, 235 + nop + xori s8, s5, 235 + sltu s6, s6, s5 + sub s1, t5, s9 + srai a0, s9, 31 + xori s8, s5, 235 + ori s3, s0, -107 + ori s3, s0, -107 + slt t0, s7, t6 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + auipc a5, 345872 + sltu s6, s6, s5 + slli ra, s1, 16 + add s10, t0, t2 + srl a4, s11, gp + lui a6, 588415 + lui a6, 588415 + sltu s6, s6, s5 + slti t6, t2, -1613 + slti t6, t2, -1613 + srli s3, s9, 13 + sra s3, s0, a5 + srli s3, s9, 13 + srli s3, s9, 13 + andi a3, t2, 1872 + slli ra, s1, 16 + sltu s6, s6, s5 + slli ra, s1, 16 + ori s3, s0, -107 + andi a3, t2, 1872 + srl a4, s11, gp + ori s3, s0, -107 + and a5, t4, t6 + addi a7, a1, -1289 + add s10, t0, t2 + sra s3, s0, a5 + srli s3, s9, 13 + srai a0, s9, 31 + xori s8, s5, 235 + nop + xor a4, s1, a5 + andi a3, t2, 1872 + sra s3, s0, a5 + srl a4, s11, gp + xori s8, s5, 235 + sltiu zero, s2, 1133 + auipc a5, 345872 + auipc a5, 345872 + xori s8, s5, 235 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + slli ra, s1, 16 + and a5, t4, t6 + srai a0, s9, 31 + addi a7, a1, -1289 + lui a6, 588415 + sll t5, a2, zero + andi a3, t2, 1872 + slti t6, t2, -1613 + and a5, t4, t6 + addi a7, a1, -1289 + and a5, t4, t6 + sll t5, a2, zero + andi a3, t2, 1872 + srli s3, s9, 13 + add s10, t0, t2 + add s10, t0, t2 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + addi a7, a1, -1289 + addi a7, a1, -1289 + auipc a5, 345872 + add s10, t0, t2 + sltu s6, s6, s5 + add s10, t0, t2 + sll t5, a2, zero + lui a6, 588415 + slli ra, s1, 16 + sltu s6, s6, s5 + sub s1, t5, s9 + andi a3, t2, 1872 + sra s3, s0, a5 + sltu s6, s6, s5 + and a5, t4, t6 + and a5, t4, t6 + srl a4, s11, gp + sll t5, a2, zero + srai a0, s9, 31 + and a5, t4, t6 + slti t6, t2, -1613 + srli s3, s9, 13 + slti t6, t2, -1613 + slt t0, s7, t6 + ori s3, s0, -107 + sltu s6, s6, s5 + and a5, t4, t6 + srai a0, s9, 31 + lui a6, 588415 + slli ra, s1, 16 + srli s3, s9, 13 + nop + nop + lui a6, 588415 + ori s3, s0, -107 + slt t0, s7, t6 + slt t0, s7, t6 + slt t0, s7, t6 + slli ra, s1, 16 + add s10, t0, t2 + sll t5, a2, zero + auipc a5, 345872 + slti t6, t2, -1613 + ori s3, s0, -107 + auipc a5, 345872 + auipc a5, 345872 + xor a4, s1, a5 + sub s1, t5, s9 + and a5, t4, t6 + xor a4, s1, a5 + sll t5, a2, zero + slti t6, t2, -1613 + xor a4, s1, a5 + srl a4, s11, gp + sltu s6, s6, s5 + slt t0, s7, t6 + slt t0, s7, t6 + xori s8, s5, 235 + slti t6, t2, -1613 + sub s1, t5, s9 + sra s3, s0, a5 + lui a6, 588415 + sll t5, a2, zero + sltu s6, s6, s5 + sra s3, s0, a5 + slti t6, t2, -1613 + srli s3, s9, 13 + sltu s6, s6, s5 + srli s3, s9, 13 + add s10, t0, t2 + slt t0, s7, t6 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + andi a3, t2, 1872 + sll t5, a2, zero + slli ra, s1, 16 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + srl a4, s11, gp + sltu s6, s6, s5 + auipc a5, 345872 + sub s1, t5, s9 + slli ra, s1, 16 + srli s3, s9, 13 + sub s1, t5, s9 + slt t0, s7, t6 + sll t5, a2, zero + sra s3, s0, a5 + sra s3, s0, a5 + ori s3, s0, -107 + xor a4, s1, a5 + sra s3, s0, a5 + and a5, t4, t6 + addi a7, a1, -1289 + add s10, t0, t2 + ori s3, s0, -107 + sltu s6, s6, s5 + or s7, a2, s3 + slti t6, t2, -1613 + add s10, t0, t2 + srli s3, s9, 13 + addi a7, a1, -1289 + add s10, t0, t2 + slli ra, s1, 16 + ori s3, s0, -107 + slti t6, t2, -1613 + srli s3, s9, 13 + sltiu zero, s2, 1133 + srli s3, s9, 13 + or s7, a2, s3 + nop + slli ra, s1, 16 + add s10, t0, t2 + xori s8, s5, 235 + or s7, a2, s3 + and a5, t4, t6 + lui a6, 588415 + nop + slti t6, t2, -1613 + add s10, t0, t2 + and a5, t4, t6 + srli s3, s9, 13 + slli ra, s1, 16 + auipc a5, 345872 + sltu s6, s6, s5 + sra s3, s0, a5 + ori s3, s0, -107 + ori s3, s0, -107 + add s10, t0, t2 + ori s3, s0, -107 + sll t5, a2, zero + sltu s6, s6, s5 + add s10, t0, t2 + sltiu zero, s2, 1133 + sra s3, s0, a5 + sltu s6, s6, s5 + lui a6, 588415 + sub s1, t5, s9 + xor a4, s1, a5 + and a5, t4, t6 + sub s1, t5, s9 + sra s3, s0, a5 + xor a4, s1, a5 + xor a4, s1, a5 + andi a3, t2, 1872 + andi a3, t2, 1872 + addi a7, a1, -1289 + ori s3, s0, -107 + slt t0, s7, t6 + auipc a5, 345872 + add s10, t0, t2 + xori s8, s5, 235 + slti t6, t2, -1613 + add s10, t0, t2 + nop + sra s3, s0, a5 + xor a4, s1, a5 + srli s3, s9, 13 + srai a0, s9, 31 + lui a6, 588415 + slti t6, t2, -1613 + lui a6, 588415 + addi a7, a1, -1289 + add s10, t0, t2 + andi a3, t2, 1872 + sub s1, t5, s9 + add s10, t0, t2 + nop + andi a3, t2, 1872 + add s10, t0, t2 + nop + sll t5, a2, zero + lui a6, 588415 + sra s3, s0, a5 + sub s1, t5, s9 + auipc a5, 345872 + addi a7, a1, -1289 + auipc a5, 345872 + ori s3, s0, -107 + sltu s6, s6, s5 + srl a4, s11, gp + ori s3, s0, -107 + and a5, t4, t6 + srl a4, s11, gp + xor a4, s1, a5 + andi a3, t2, 1872 + nop + add s10, t0, t2 + lui a6, 588415 + nop + and a5, t4, t6 + or s7, a2, s3 + xor a4, s1, a5 + xor a4, s1, a5 + or s7, a2, s3 + andi a3, t2, 1872 + addi a7, a1, -1289 + or s7, a2, s3 + addi a7, a1, -1289 + slt t0, s7, t6 + srli s3, s9, 13 + auipc a5, 345872 + srai a0, s9, 31 + andi a3, t2, 1872 + ori s3, s0, -107 + sub s1, t5, s9 + sub s1, t5, s9 + sub s1, t5, s9 + nop + sll t5, a2, zero + addi a7, a1, -1289 + sll t5, a2, zero + addi a7, a1, -1289 + srai a0, s9, 31 + sltu s6, s6, s5 + srai a0, s9, 31 + sll t5, a2, zero + ori s3, s0, -107 + andi a3, t2, 1872 + slt t0, s7, t6 + srai a0, s9, 31 + and a5, t4, t6 + sltiu zero, s2, 1133 + or s7, a2, s3 + srli s3, s9, 13 + sltu s6, s6, s5 + auipc a5, 345872 + srli s3, s9, 13 + or s7, a2, s3 + slli ra, s1, 16 + addi a7, a1, -1289 + slt t0, s7, t6 + sra s3, s0, a5 + srli s3, s9, 13 + and a5, t4, t6 + srli s3, s9, 13 + sll t5, a2, zero + slti t6, t2, -1613 + nop + addi a7, a1, -1289 + and a5, t4, t6 + srli s3, s9, 13 + ori s3, s0, -107 + srl a4, s11, gp + xor a4, s1, a5 + srl a4, s11, gp + slli ra, s1, 16 + slli ra, s1, 16 + slt t0, s7, t6 + sll t5, a2, zero + srai a0, s9, 31 + ori s3, s0, -107 + auipc a5, 345872 + and a5, t4, t6 + and a5, t4, t6 + auipc a5, 345872 + slti t6, t2, -1613 + srai a0, s9, 31 + sltiu zero, s2, 1133 + nop + sltiu zero, s2, 1133 + andi a3, t2, 1872 + sra s3, s0, a5 + srl a4, s11, gp + srai a0, s9, 31 + nop + srl a4, s11, gp + addi a7, a1, -1289 + or s7, a2, s3 + xor a4, s1, a5 + sll t5, a2, zero + sub s1, t5, s9 + slt t0, s7, t6 + addi a7, a1, -1289 + sltu s6, s6, s5 + nop + sltu s6, s6, s5 + andi a3, t2, 1872 + sltu s6, s6, s5 + xori s8, s5, 235 + sub s1, t5, s9 + addi a7, a1, -1289 + sltu s6, s6, s5 + slt t0, s7, t6 + xori s8, s5, 235 + sra s3, s0, a5 + add s10, t0, t2 + auipc a5, 345872 + nop + lui a6, 588415 + xori s8, s5, 235 + sltu s6, s6, s5 + sll t5, a2, zero + slti t6, t2, -1613 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + lui a6, 588415 + slti t6, t2, -1613 + slt t0, s7, t6 + and a5, t4, t6 + addi a7, a1, -1289 + ori s3, s0, -107 + xor a4, s1, a5 + xor a4, s1, a5 + slli ra, s1, 16 + addi a7, a1, -1289 + slti t6, t2, -1613 + sra s3, s0, a5 + and a5, t4, t6 + xori s8, s5, 235 + sll t5, a2, zero + nop + srai a0, s9, 31 + sra s3, s0, a5 + xor a4, s1, a5 + addi a7, a1, -1289 + andi a3, t2, 1872 + slt t0, s7, t6 + nop + add s10, t0, t2 + auipc a5, 345872 + add s10, t0, t2 + sra s3, s0, a5 + sltiu zero, s2, 1133 + auipc a5, 345872 + or s7, a2, s3 + sltu s6, s6, s5 + sltu s6, s6, s5 + andi a3, t2, 1872 + slti t6, t2, -1613 + slli ra, s1, 16 + srli s3, s9, 13 + addi a7, a1, -1289 + auipc a5, 345872 + sll t5, a2, zero + xor a4, s1, a5 + sltu s6, s6, s5 + and a5, t4, t6 + sra s3, s0, a5 + auipc a5, 345872 + sltiu zero, s2, 1133 + srl a4, s11, gp + auipc a5, 345872 + add s10, t0, t2 + xori s8, s5, 235 + sra s3, s0, a5 + slt t0, s7, t6 + xor a4, s1, a5 + nop + srli s3, s9, 13 + lui a6, 588415 + srli s3, s9, 13 + srl a4, s11, gp + slli ra, s1, 16 + sra s3, s0, a5 + andi a3, t2, 1872 + ori s3, s0, -107 + or s7, a2, s3 + srl a4, s11, gp + sltiu zero, s2, 1133 + lui a6, 588415 + auipc a5, 345872 + xor a4, s1, a5 + srai a0, s9, 31 + sra s3, s0, a5 + auipc a5, 345872 + slli ra, s1, 16 + nop + xori s8, s5, 235 + sub s1, t5, s9 + slt t0, s7, t6 + addi a7, a1, -1289 + and a5, t4, t6 + addi a7, a1, -1289 + andi a3, t2, 1872 + auipc a5, 345872 + sub s1, t5, s9 + and a5, t4, t6 + auipc a5, 345872 + sra s3, s0, a5 + auipc a5, 345872 + addi a7, a1, -1289 + ori s3, s0, -107 + srai a0, s9, 31 + srai a0, s9, 31 + slli ra, s1, 16 + sltiu zero, s2, 1133 + xor a4, s1, a5 + addi a7, a1, -1289 + andi a3, t2, 1872 + auipc a5, 345872 + srli s3, s9, 13 + sub s1, t5, s9 + sll t5, a2, zero + sltu s6, s6, s5 + auipc a5, 345872 + slli ra, s1, 16 + srai a0, s9, 31 + slli ra, s1, 16 + nop + addi a7, a1, -1289 + xor a4, s1, a5 + andi a3, t2, 1872 + nop + sra s3, s0, a5 + and a5, t4, t6 + nop + nop + xori s8, s5, 235 + sltiu zero, s2, 1133 + xor a4, s1, a5 + srai a0, s9, 31 + sra s3, s0, a5 + xor a4, s1, a5 + slti t6, t2, -1613 + andi a3, t2, 1872 + sub s1, t5, s9 + sll t5, a2, zero + sll t5, a2, zero + ori s3, s0, -107 + auipc a5, 345872 + slt t0, s7, t6 + addi a7, a1, -1289 + srli s3, s9, 13 + srl a4, s11, gp + sltu s6, s6, s5 + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + add s10, t0, t2 + or s7, a2, s3 + sltu s6, s6, s5 + ori s3, s0, -107 + sub s1, t5, s9 + srl a4, s11, gp + add s10, t0, t2 + or s7, a2, s3 + sra s3, s0, a5 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sub s1, t5, s9 + xori s8, s5, 235 + srl a4, s11, gp + add s10, t0, t2 + srl a4, s11, gp + andi a3, t2, 1872 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + and a5, t4, t6 + andi a3, t2, 1872 + xor a4, s1, a5 + slli ra, s1, 16 + srl a4, s11, gp + addi a7, a1, -1289 + sra s3, s0, a5 + xori s8, s5, 235 + srl a4, s11, gp + srli s3, s9, 13 + slti t6, t2, -1613 + srai a0, s9, 31 + sub s1, t5, s9 + srl a4, s11, gp + sll t5, a2, zero + and a5, t4, t6 + or s7, a2, s3 + and a5, t4, t6 + xor a4, s1, a5 + add s10, t0, t2 + or s7, a2, s3 + auipc a5, 345872 + sll t5, a2, zero + xori s8, s5, 235 + and a5, t4, t6 + ori s3, s0, -107 + xori s8, s5, 235 + and a5, t4, t6 + srl a4, s11, gp + or s7, a2, s3 + sub s1, t5, s9 + or s7, a2, s3 + sltiu zero, s2, 1133 + slli ra, s1, 16 + andi a3, t2, 1872 + xori s8, s5, 235 + sltu s6, s6, s5 + xor a4, s1, a5 + srl a4, s11, gp + sub s1, t5, s9 + andi a3, t2, 1872 + srai a0, s9, 31 + sra s3, s0, a5 + addi a7, a1, -1289 + sll t5, a2, zero + add s10, t0, t2 + sub s1, t5, s9 + slti t6, t2, -1613 + xor a4, s1, a5 + xor a4, s1, a5 + and a5, t4, t6 + slli ra, s1, 16 + sub s1, t5, s9 + addi a7, a1, -1289 + slt t0, s7, t6 + sltiu zero, s2, 1133 + add s10, t0, t2 + slti t6, t2, -1613 + or s7, a2, s3 + and a5, t4, t6 + add s10, t0, t2 + or s7, a2, s3 + add s10, t0, t2 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + or s7, a2, s3 + sub s1, t5, s9 + nop + lui a6, 588415 + ori s3, s0, -107 + srli s3, s9, 13 + sltu s6, s6, s5 + slt t0, s7, t6 + ori s3, s0, -107 + or s7, a2, s3 + sra s3, s0, a5 + ori s3, s0, -107 + xor a4, s1, a5 + srl a4, s11, gp + srl a4, s11, gp + or s7, a2, s3 + sub s1, t5, s9 + slt t0, s7, t6 + ori s3, s0, -107 + ori s3, s0, -107 + srl a4, s11, gp + xor a4, s1, a5 + slti t6, t2, -1613 + srai a0, s9, 31 + sll t5, a2, zero + or s7, a2, s3 + xor a4, s1, a5 + srai a0, s9, 31 + lui a6, 588415 + srl a4, s11, gp + xor a4, s1, a5 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + sra s3, s0, a5 + nop + auipc a5, 345872 + auipc a5, 345872 + add s10, t0, t2 + sll t5, a2, zero + nop + or s7, a2, s3 + add s10, t0, t2 + sll t5, a2, zero + slti t6, t2, -1613 + slli ra, s1, 16 + sll t5, a2, zero + sub s1, t5, s9 + lui a6, 588415 + sltu s6, s6, s5 + andi a3, t2, 1872 + srai a0, s9, 31 + or s7, a2, s3 + add s10, t0, t2 + srli s3, s9, 13 + ori s3, s0, -107 + xor a4, s1, a5 + xori s8, s5, 235 + nop + or s7, a2, s3 + srl a4, s11, gp + ori s3, s0, -107 + sltu s6, s6, s5 + sub s1, t5, s9 + and a5, t4, t6 + nop + sltu s6, s6, s5 + srl a4, s11, gp + sltu s6, s6, s5 + auipc a5, 345872 + addi a7, a1, -1289 + srai a0, s9, 31 + sra s3, s0, a5 + sra s3, s0, a5 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + and a5, t4, t6 + and a5, t4, t6 + auipc a5, 345872 + and a5, t4, t6 + xor a4, s1, a5 + auipc a5, 345872 + add s10, t0, t2 + sra s3, s0, a5 + slt t0, s7, t6 + sltiu zero, s2, 1133 + xor a4, s1, a5 + srai a0, s9, 31 + sll t5, a2, zero + sll t5, a2, zero + slti t6, t2, -1613 + auipc a5, 345872 + sltu s6, s6, s5 + slli ra, s1, 16 + slli ra, s1, 16 + addi a7, a1, -1289 + srl a4, s11, gp + slt t0, s7, t6 + andi a3, t2, 1872 + srai a0, s9, 31 + xor a4, s1, a5 + sra s3, s0, a5 + add s10, t0, t2 + srai a0, s9, 31 + add s10, t0, t2 + srli s3, s9, 13 + sltiu zero, s2, 1133 + sra s3, s0, a5 + sltiu zero, s2, 1133 + lui a6, 588415 + auipc a5, 345872 + slt t0, s7, t6 + or s7, a2, s3 + slli ra, s1, 16 + slt t0, s7, t6 + slti t6, t2, -1613 + andi a3, t2, 1872 + sub s1, t5, s9 + slt t0, s7, t6 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + auipc a5, 345872 + sll t5, a2, zero + and a5, t4, t6 + srl a4, s11, gp + srli s3, s9, 13 + add s10, t0, t2 + nop + xor a4, s1, a5 + nop + sltiu zero, s2, 1133 + sltu s6, s6, s5 + slt t0, s7, t6 + sltiu zero, s2, 1133 + nop + srli s3, s9, 13 + srl a4, s11, gp + lui a6, 588415 + ori s3, s0, -107 + xor a4, s1, a5 + nop + andi a3, t2, 1872 + lui a6, 588415 + slt t0, s7, t6 + and a5, t4, t6 + nop + nop + srli s3, s9, 13 + srli s3, s9, 13 + auipc a5, 345872 + sra s3, s0, a5 + auipc a5, 345872 + or s7, a2, s3 + nop + sra s3, s0, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + srai a0, s9, 31 + andi a3, t2, 1872 + sub s1, t5, s9 + lui a6, 588415 + sll t5, a2, zero + slt t0, s7, t6 + and a5, t4, t6 + addi a7, a1, -1289 + ori s3, s0, -107 + sub s1, t5, s9 + sra s3, s0, a5 + slt t0, s7, t6 + srli s3, s9, 13 + add s10, t0, t2 + or s7, a2, s3 + xor a4, s1, a5 + nop + slt t0, s7, t6 + sltu s6, s6, s5 + and a5, t4, t6 + slt t0, s7, t6 + sll t5, a2, zero + auipc a5, 345872 + slli ra, s1, 16 + sub s1, t5, s9 + sltu s6, s6, s5 + slli ra, s1, 16 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + srai a0, s9, 31 + srl a4, s11, gp + sltu s6, s6, s5 + andi a3, t2, 1872 + srai a0, s9, 31 + sltu s6, s6, s5 + srli s3, s9, 13 + addi a7, a1, -1289 + srli s3, s9, 13 + sra s3, s0, a5 + add s10, t0, t2 + slt t0, s7, t6 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + srl a4, s11, gp + lui a6, 588415 + slti t6, t2, -1613 + sll t5, a2, zero + auipc a5, 345872 + xori s8, s5, 235 + andi a3, t2, 1872 + or s7, a2, s3 + sra s3, s0, a5 + xori s8, s5, 235 + srli s3, s9, 13 + sltu s6, s6, s5 + sub s1, t5, s9 + sltu s6, s6, s5 + srli s3, s9, 13 + add s10, t0, t2 + srl a4, s11, gp + slti t6, t2, -1613 + ori s3, s0, -107 + add s10, t0, t2 + sll t5, a2, zero + slti t6, t2, -1613 + sra s3, s0, a5 + xori s8, s5, 235 + slt t0, s7, t6 + and a5, t4, t6 + add s10, t0, t2 + srl a4, s11, gp + xor a4, s1, a5 + srli s3, s9, 13 + srai a0, s9, 31 + auipc a5, 345872 + or s7, a2, s3 + xor a4, s1, a5 + nop + slt t0, s7, t6 + xori s8, s5, 235 + addi a7, a1, -1289 + slt t0, s7, t6 + srl a4, s11, gp + sub s1, t5, s9 + lui a6, 588415 + srl a4, s11, gp + or s7, a2, s3 + ori s3, s0, -107 + sub s1, t5, s9 + sra s3, s0, a5 + srai a0, s9, 31 + or s7, a2, s3 + sra s3, s0, a5 + or s7, a2, s3 + slt t0, s7, t6 + sll t5, a2, zero + xori s8, s5, 235 + srl a4, s11, gp + or s7, a2, s3 + or s7, a2, s3 + srai a0, s9, 31 + sltiu zero, s2, 1133 + sra s3, s0, a5 + sll t5, a2, zero + sltiu zero, s2, 1133 + sra s3, s0, a5 + andi a3, t2, 1872 + andi a3, t2, 1872 + sra s3, s0, a5 + srli s3, s9, 13 + srl a4, s11, gp + slti t6, t2, -1613 + sll t5, a2, zero + lui a6, 588415 + andi a3, t2, 1872 + and a5, t4, t6 + or s7, a2, s3 + auipc a5, 345872 + sltiu zero, s2, 1133 + nop + srl a4, s11, gp + srai a0, s9, 31 + or s7, a2, s3 + srai a0, s9, 31 + sub s1, t5, s9 + nop + and a5, t4, t6 + addi a7, a1, -1289 + and a5, t4, t6 + srli s3, s9, 13 + sltiu zero, s2, 1133 + slli ra, s1, 16 + slli ra, s1, 16 + addi a7, a1, -1289 + sra s3, s0, a5 + sra s3, s0, a5 + or s7, a2, s3 + sltu s6, s6, s5 + srl a4, s11, gp + and a5, t4, t6 + andi a3, t2, 1872 + slt t0, s7, t6 + sltu s6, s6, s5 + andi a3, t2, 1872 + slli ra, s1, 16 + srl a4, s11, gp + ori s3, s0, -107 + sltiu zero, s2, 1133 + srai a0, s9, 31 + or s7, a2, s3 + slt t0, s7, t6 + ori s3, s0, -107 + xori s8, s5, 235 + srai a0, s9, 31 + and a5, t4, t6 + sll t5, a2, zero + xor a4, s1, a5 + srai a0, s9, 31 + sra s3, s0, a5 + slti t6, t2, -1613 + sra s3, s0, a5 + slti t6, t2, -1613 + xor a4, s1, a5 + sltiu zero, s2, 1133 + slli ra, s1, 16 + slti t6, t2, -1613 + nop + lui a6, 588415 + slti t6, t2, -1613 + nop + sra s3, s0, a5 + nop + andi a3, t2, 1872 + sra s3, s0, a5 + sltu s6, s6, s5 + xori s8, s5, 235 + and a5, t4, t6 + auipc a5, 345872 + sub s1, t5, s9 + and a5, t4, t6 + lui a6, 588415 + sltu s6, s6, s5 + srai a0, s9, 31 + slli ra, s1, 16 + slt t0, s7, t6 + nop + and a5, t4, t6 + ori s3, s0, -107 + xori s8, s5, 235 + auipc a5, 345872 + srl a4, s11, gp + nop + or s7, a2, s3 + slt t0, s7, t6 + srli s3, s9, 13 + slti t6, t2, -1613 + sltu s6, s6, s5 + nop + slti t6, t2, -1613 + slti t6, t2, -1613 + add s10, t0, t2 + lui a6, 588415 + slli ra, s1, 16 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + and a5, t4, t6 + sll t5, a2, zero + nop + srl a4, s11, gp + lui a6, 588415 + sltu s6, s6, s5 + xori s8, s5, 235 + srl a4, s11, gp + add s10, t0, t2 + auipc a5, 345872 + auipc a5, 345872 + ori s3, s0, -107 + srli s3, s9, 13 + or s7, a2, s3 + lui a6, 588415 + srl a4, s11, gp + sub s1, t5, s9 + add s10, t0, t2 + sub s1, t5, s9 + sra s3, s0, a5 + and a5, t4, t6 + auipc a5, 345872 + slt t0, s7, t6 + sra s3, s0, a5 + ori s3, s0, -107 + ori s3, s0, -107 + srai a0, s9, 31 + srai a0, s9, 31 + srl a4, s11, gp + xor a4, s1, a5 + srl a4, s11, gp + srl a4, s11, gp + or s7, a2, s3 + sub s1, t5, s9 + sub s1, t5, s9 + slli ra, s1, 16 + sltu s6, s6, s5 + and a5, t4, t6 + srl a4, s11, gp + slli ra, s1, 16 + slt t0, s7, t6 + and a5, t4, t6 + addi a7, a1, -1289 + srl a4, s11, gp + xor a4, s1, a5 + sltiu zero, s2, 1133 + slt t0, s7, t6 + lui a6, 588415 + sub s1, t5, s9 + srli s3, s9, 13 + andi a3, t2, 1872 + slt t0, s7, t6 + add s10, t0, t2 + srai a0, s9, 31 + srai a0, s9, 31 + auipc a5, 345872 + nop + sra s3, s0, a5 + srai a0, s9, 31 + srl a4, s11, gp + addi a7, a1, -1289 + nop + slti t6, t2, -1613 + sltu s6, s6, s5 + slti t6, t2, -1613 + auipc a5, 345872 + srl a4, s11, gp + lui a6, 588415 + slt t0, s7, t6 + ori s3, s0, -107 + srai a0, s9, 31 + xor a4, s1, a5 + slli ra, s1, 16 + srai a0, s9, 31 + srl a4, s11, gp + sra s3, s0, a5 + slt t0, s7, t6 + sra s3, s0, a5 + srai a0, s9, 31 + sll t5, a2, zero + ori s3, s0, -107 + sra s3, s0, a5 + nop + and a5, t4, t6 + ori s3, s0, -107 + slti t6, t2, -1613 + sub s1, t5, s9 + and a5, t4, t6 + xori s8, s5, 235 + sltiu zero, s2, 1133 + sub s1, t5, s9 + addi a7, a1, -1289 + xori s8, s5, 235 + srai a0, s9, 31 + slt t0, s7, t6 + or s7, a2, s3 + or s7, a2, s3 + slti t6, t2, -1613 + nop + add s10, t0, t2 + nop + slli ra, s1, 16 + srli s3, s9, 13 + ori s3, s0, -107 + nop + or s7, a2, s3 + srl a4, s11, gp + slli ra, s1, 16 + or s7, a2, s3 + sltiu zero, s2, 1133 + slli ra, s1, 16 + sll t5, a2, zero + add s10, t0, t2 + sltiu zero, s2, 1133 + slt t0, s7, t6 + slli ra, s1, 16 + srai a0, s9, 31 + xori s8, s5, 235 + srli s3, s9, 13 + auipc a5, 345872 + nop + sltu s6, s6, s5 + slti t6, t2, -1613 + sub s1, t5, s9 + lui a6, 588415 + sltiu zero, s2, 1133 + or s7, a2, s3 + srai a0, s9, 31 + slli ra, s1, 16 + addi a7, a1, -1289 + slt t0, s7, t6 + srli s3, s9, 13 + sll t5, a2, zero + lui a6, 588415 + xor a4, s1, a5 + sltu s6, s6, s5 + xor a4, s1, a5 + lui a6, 588415 + andi a3, t2, 1872 + sll t5, a2, zero + andi a3, t2, 1872 + sltu s6, s6, s5 + slli ra, s1, 16 + srl a4, s11, gp + slt t0, s7, t6 + sll t5, a2, zero + addi a7, a1, -1289 + auipc a5, 345872 + auipc a5, 345872 + slt t0, s7, t6 + xor a4, s1, a5 + add s10, t0, t2 + or s7, a2, s3 + xori s8, s5, 235 + sll t5, a2, zero + andi a3, t2, 1872 + auipc a5, 345872 + nop + nop + add s10, t0, t2 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + slt t0, s7, t6 + sltiu zero, s2, 1133 + srai a0, s9, 31 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + nop + sra s3, s0, a5 + srai a0, s9, 31 + ori s3, s0, -107 + add s10, t0, t2 + addi a7, a1, -1289 + sub s1, t5, s9 + slli ra, s1, 16 + or s7, a2, s3 + lui a6, 588415 + slli ra, s1, 16 + slti t6, t2, -1613 + slt t0, s7, t6 + slt t0, s7, t6 + and a5, t4, t6 + slli ra, s1, 16 + sub s1, t5, s9 + auipc a5, 345872 + sltiu zero, s2, 1133 + slli ra, s1, 16 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + slt t0, s7, t6 + slt t0, s7, t6 + lui a6, 588415 + xori s8, s5, 235 + srai a0, s9, 31 + or s7, a2, s3 + ori s3, s0, -107 + sltu s6, s6, s5 + or s7, a2, s3 + or s7, a2, s3 + andi a3, t2, 1872 + addi a7, a1, -1289 + lui a6, 588415 + auipc a5, 345872 + add s10, t0, t2 + sltu s6, s6, s5 + srl a4, s11, gp + slli ra, s1, 16 + nop + sra s3, s0, a5 + lui a6, 588415 + sub s1, t5, s9 + sltu s6, s6, s5 + sll t5, a2, zero + srli s3, s9, 13 + sltiu zero, s2, 1133 + sra s3, s0, a5 + slli ra, s1, 16 + lui a6, 588415 + sra s3, s0, a5 + srli s3, s9, 13 + sltu s6, s6, s5 + xori s8, s5, 235 + xor a4, s1, a5 + auipc a5, 345872 + xor a4, s1, a5 + sra s3, s0, a5 + sub s1, t5, s9 + sra s3, s0, a5 + sub s1, t5, s9 + add s10, t0, t2 + lui a6, 588415 + slti t6, t2, -1613 + srl a4, s11, gp + slti t6, t2, -1613 + nop + sub s1, t5, s9 + and a5, t4, t6 + sltu s6, s6, s5 + ori s3, s0, -107 + sra s3, s0, a5 + xori s8, s5, 235 + or s7, a2, s3 + sltiu zero, s2, 1133 + srli s3, s9, 13 + xori s8, s5, 235 + sll t5, a2, zero + or s7, a2, s3 + srli s3, s9, 13 + xor a4, s1, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + slli ra, s1, 16 + sub s1, t5, s9 + srai a0, s9, 31 + and a5, t4, t6 + xori s8, s5, 235 + slli ra, s1, 16 + sra s3, s0, a5 + ori s3, s0, -107 + add s10, t0, t2 + or s7, a2, s3 + or s7, a2, s3 + auipc a5, 345872 + xor a4, s1, a5 + srli s3, s9, 13 + andi a3, t2, 1872 + slti t6, t2, -1613 + srai a0, s9, 31 + sll t5, a2, zero + xor a4, s1, a5 + srl a4, s11, gp + slti t6, t2, -1613 + lui a6, 588415 + xori s8, s5, 235 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + sub s1, t5, s9 + srai a0, s9, 31 + nop + srl a4, s11, gp + nop + and a5, t4, t6 + slt t0, s7, t6 + slli ra, s1, 16 + addi a7, a1, -1289 + ori s3, s0, -107 + sltu s6, s6, s5 + nop + slt t0, s7, t6 + lui a6, 588415 + add s10, t0, t2 + sub s1, t5, s9 + xori s8, s5, 235 + srli s3, s9, 13 + addi a7, a1, -1289 + srl a4, s11, gp + and a5, t4, t6 + sltiu zero, s2, 1133 + auipc a5, 345872 + xori s8, s5, 235 + srai a0, s9, 31 + slti t6, t2, -1613 + sra s3, s0, a5 + or s7, a2, s3 + and a5, t4, t6 + xor a4, s1, a5 + lui a6, 588415 + addi a7, a1, -1289 + sll t5, a2, zero + add s10, t0, t2 + slli ra, s1, 16 + ori s3, s0, -107 + sltu s6, s6, s5 + srli s3, s9, 13 + and a5, t4, t6 + ori s3, s0, -107 + sltiu zero, s2, 1133 + xori s8, s5, 235 + slli ra, s1, 16 + sra s3, s0, a5 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + sra s3, s0, a5 + xor a4, s1, a5 + slt t0, s7, t6 + add s10, t0, t2 + ori s3, s0, -107 + srai a0, s9, 31 + and a5, t4, t6 + and a5, t4, t6 + sltiu zero, s2, 1133 + sra s3, s0, a5 + nop + sll t5, a2, zero + nop + addi a7, a1, -1289 + ori s3, s0, -107 + sra s3, s0, a5 + xori s8, s5, 235 + sra s3, s0, a5 + srli s3, s9, 13 + srl a4, s11, gp + slli ra, s1, 16 + sltu s6, s6, s5 + add s10, t0, t2 + nop + srai a0, s9, 31 + nop + sltiu zero, s2, 1133 + lui a6, 588415 + xori s8, s5, 235 + srai a0, s9, 31 + and a5, t4, t6 + sltiu zero, s2, 1133 + and a5, t4, t6 + ori s3, s0, -107 + and a5, t4, t6 + lui a6, 588415 + add s10, t0, t2 + ori s3, s0, -107 + andi a3, t2, 1872 + nop + slt t0, s7, t6 + sll t5, a2, zero + slt t0, s7, t6 + xor a4, s1, a5 + and a5, t4, t6 + slli ra, s1, 16 + auipc a5, 345872 + xori s8, s5, 235 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + add s10, t0, t2 + addi a7, a1, -1289 + slti t6, t2, -1613 + sll t5, a2, zero + nop + slt t0, s7, t6 + slti t6, t2, -1613 + srl a4, s11, gp + andi a3, t2, 1872 + srai a0, s9, 31 + srli s3, s9, 13 + sltiu zero, s2, 1133 + slt t0, s7, t6 + slt t0, s7, t6 + addi a7, a1, -1289 + xori s8, s5, 235 + slt t0, s7, t6 + xor a4, s1, a5 + slli ra, s1, 16 + slti t6, t2, -1613 + xori s8, s5, 235 + sltiu zero, s2, 1133 + srli s3, s9, 13 + auipc a5, 345872 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + sll t5, a2, zero + auipc a5, 345872 + slti t6, t2, -1613 + xor a4, s1, a5 + sub s1, t5, s9 + sra s3, s0, a5 + and a5, t4, t6 + sll t5, a2, zero + and a5, t4, t6 + sltiu zero, s2, 1133 + add s10, t0, t2 + srl a4, s11, gp + sra s3, s0, a5 + and a5, t4, t6 + sub s1, t5, s9 + sltiu zero, s2, 1133 + srli s3, s9, 13 + add s10, t0, t2 + xor a4, s1, a5 + add s10, t0, t2 + srli s3, s9, 13 + sltu s6, s6, s5 + andi a3, t2, 1872 + sub s1, t5, s9 + addi a7, a1, -1289 + srli s3, s9, 13 + slti t6, t2, -1613 + sra s3, s0, a5 + nop + srai a0, s9, 31 + andi a3, t2, 1872 + sltu s6, s6, s5 + sra s3, s0, a5 + sll t5, a2, zero + ori s3, s0, -107 + lui a6, 588415 + xori s8, s5, 235 + lui a6, 588415 + sra s3, s0, a5 + srai a0, s9, 31 + and a5, t4, t6 + andi a3, t2, 1872 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + auipc a5, 345872 + srl a4, s11, gp + srai a0, s9, 31 + xor a4, s1, a5 + sltu s6, s6, s5 + sra s3, s0, a5 + or s7, a2, s3 + sra s3, s0, a5 + xori s8, s5, 235 + sub s1, t5, s9 + sltiu zero, s2, 1133 + add s10, t0, t2 + srl a4, s11, gp + sra s3, s0, a5 + srl a4, s11, gp + xori s8, s5, 235 + srli s3, s9, 13 + add s10, t0, t2 + slli ra, s1, 16 + slt t0, s7, t6 + andi a3, t2, 1872 + auipc a5, 345872 + ori s3, s0, -107 + slti t6, t2, -1613 + sra s3, s0, a5 + xori s8, s5, 235 + slli ra, s1, 16 + auipc a5, 345872 + sltiu zero, s2, 1133 + sra s3, s0, a5 + or s7, a2, s3 + sltu s6, s6, s5 + slli ra, s1, 16 + srai a0, s9, 31 + auipc a5, 345872 + slti t6, t2, -1613 + auipc a5, 345872 + slt t0, s7, t6 + sub s1, t5, s9 + add s10, t0, t2 + sra s3, s0, a5 + auipc a5, 345872 + lui a6, 588415 + srai a0, s9, 31 + slti t6, t2, -1613 + sltu s6, s6, s5 + nop + slti t6, t2, -1613 + srl a4, s11, gp + srl a4, s11, gp + sra s3, s0, a5 + add s10, t0, t2 + xori s8, s5, 235 + srai a0, s9, 31 + xor a4, s1, a5 + addi a7, a1, -1289 + xor a4, s1, a5 + slti t6, t2, -1613 + slti t6, t2, -1613 + lui a6, 588415 + xori s8, s5, 235 + addi a7, a1, -1289 + xor a4, s1, a5 + sll t5, a2, zero + sltiu zero, s2, 1133 + xori s8, s5, 235 + nop + add s10, t0, t2 + andi a3, t2, 1872 + lui a6, 588415 + xor a4, s1, a5 + ori s3, s0, -107 + sub s1, t5, s9 + nop + slt t0, s7, t6 + sub s1, t5, s9 + srli s3, s9, 13 + or s7, a2, s3 + sra s3, s0, a5 + srai a0, s9, 31 + sll t5, a2, zero + srli s3, s9, 13 + andi a3, t2, 1872 + sll t5, a2, zero + xori s8, s5, 235 + lui a6, 588415 + or s7, a2, s3 + slt t0, s7, t6 + srai a0, s9, 31 + or s7, a2, s3 + addi a7, a1, -1289 + srl a4, s11, gp + or s7, a2, s3 + auipc a5, 345872 + or s7, a2, s3 + and a5, t4, t6 + andi a3, t2, 1872 + ori s3, s0, -107 + srli s3, s9, 13 + srai a0, s9, 31 + nop + sub s1, t5, s9 + ori s3, s0, -107 + srai a0, s9, 31 + srli s3, s9, 13 + sra s3, s0, a5 + ori s3, s0, -107 + sltiu zero, s2, 1133 + xor a4, s1, a5 + slt t0, s7, t6 + xor a4, s1, a5 + srli s3, s9, 13 + or s7, a2, s3 + andi a3, t2, 1872 + slt t0, s7, t6 + andi a3, t2, 1872 + add s10, t0, t2 + sub s1, t5, s9 + xori s8, s5, 235 + slt t0, s7, t6 + andi a3, t2, 1872 + addi a7, a1, -1289 + sub s1, t5, s9 + nop + and a5, t4, t6 + or s7, a2, s3 + sltiu zero, s2, 1133 + sra s3, s0, a5 + nop + addi a7, a1, -1289 + sll t5, a2, zero + and a5, t4, t6 + nop + sll t5, a2, zero + srl a4, s11, gp + xor a4, s1, a5 + andi a3, t2, 1872 + slti t6, t2, -1613 + xor a4, s1, a5 + ori s3, s0, -107 + slli ra, s1, 16 + srl a4, s11, gp + ori s3, s0, -107 + xor a4, s1, a5 + add s10, t0, t2 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + srli s3, s9, 13 + sll t5, a2, zero + xor a4, s1, a5 + and a5, t4, t6 + slt t0, s7, t6 + sub s1, t5, s9 + slti t6, t2, -1613 + sra s3, s0, a5 + addi a7, a1, -1289 + sll t5, a2, zero + srai a0, s9, 31 + slli ra, s1, 16 + slt t0, s7, t6 + lui a6, 588415 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + sub s1, t5, s9 + sll t5, a2, zero + auipc a5, 345872 + slli ra, s1, 16 + auipc a5, 345872 + xor a4, s1, a5 + slli ra, s1, 16 + slli ra, s1, 16 + and a5, t4, t6 + slt t0, s7, t6 + ori s3, s0, -107 + sll t5, a2, zero + sra s3, s0, a5 + lui a6, 588415 + or s7, a2, s3 + lui a6, 588415 + xori s8, s5, 235 + sltu s6, s6, s5 + slti t6, t2, -1613 + lui a6, 588415 + slt t0, s7, t6 + nop + add s10, t0, t2 + srai a0, s9, 31 + or s7, a2, s3 + srli s3, s9, 13 + sltu s6, s6, s5 + srl a4, s11, gp + nop + sub s1, t5, s9 + sll t5, a2, zero + xori s8, s5, 235 + slti t6, t2, -1613 + nop + srl a4, s11, gp + and a5, t4, t6 + slli ra, s1, 16 + and a5, t4, t6 + and a5, t4, t6 + slti t6, t2, -1613 + sltu s6, s6, s5 + addi a7, a1, -1289 + addi a7, a1, -1289 + andi a3, t2, 1872 + andi a3, t2, 1872 + andi a3, t2, 1872 + srl a4, s11, gp + sll t5, a2, zero + slti t6, t2, -1613 + xori s8, s5, 235 + or s7, a2, s3 + srl a4, s11, gp + sll t5, a2, zero + sltiu zero, s2, 1133 + slli ra, s1, 16 + addi a7, a1, -1289 + sll t5, a2, zero + and a5, t4, t6 + slti t6, t2, -1613 + srl a4, s11, gp + sltu s6, s6, s5 + nop + srai a0, s9, 31 + auipc a5, 345872 + xori s8, s5, 235 + xor a4, s1, a5 + slti t6, t2, -1613 + ori s3, s0, -107 + addi a7, a1, -1289 + xori s8, s5, 235 + or s7, a2, s3 + auipc a5, 345872 + xori s8, s5, 235 + srli s3, s9, 13 + sll t5, a2, zero + sltiu zero, s2, 1133 + andi a3, t2, 1872 + nop + auipc a5, 345872 + srl a4, s11, gp + add s10, t0, t2 + srli s3, s9, 13 + auipc a5, 345872 + srl a4, s11, gp + sra s3, s0, a5 + addi a7, a1, -1289 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + xori s8, s5, 235 + sltiu zero, s2, 1133 + srl a4, s11, gp + lui a6, 588415 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + srli s3, s9, 13 + slli ra, s1, 16 + slli ra, s1, 16 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + ori s3, s0, -107 + ori s3, s0, -107 + srli s3, s9, 13 + or s7, a2, s3 + and a5, t4, t6 + addi a7, a1, -1289 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + or s7, a2, s3 + srli s3, s9, 13 + sltiu zero, s2, 1133 + or s7, a2, s3 + auipc a5, 345872 + or s7, a2, s3 + auipc a5, 345872 + srl a4, s11, gp + sltu s6, s6, s5 + xori s8, s5, 235 + add s10, t0, t2 + andi a3, t2, 1872 + ori s3, s0, -107 + slti t6, t2, -1613 + nop + srai a0, s9, 31 + add s10, t0, t2 + sra s3, s0, a5 + sll t5, a2, zero + sll t5, a2, zero + sll t5, a2, zero + sll t5, a2, zero + sra s3, s0, a5 + sra s3, s0, a5 + auipc a5, 345872 + sub s1, t5, s9 + auipc a5, 345872 + srli s3, s9, 13 + addi a7, a1, -1289 + auipc a5, 345872 + srli s3, s9, 13 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + add s10, t0, t2 + sub s1, t5, s9 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + lui a6, 588415 + nop + slt t0, s7, t6 + srai a0, s9, 31 + srl a4, s11, gp + add s10, t0, t2 + sltiu zero, s2, 1133 + slt t0, s7, t6 + xori s8, s5, 235 + andi a3, t2, 1872 + sub s1, t5, s9 + sll t5, a2, zero + nop + slli ra, s1, 16 + slli ra, s1, 16 + ori s3, s0, -107 + sltiu zero, s2, 1133 + ori s3, s0, -107 + srai a0, s9, 31 + sub s1, t5, s9 + xor a4, s1, a5 + sub s1, t5, s9 + xori s8, s5, 235 + add s10, t0, t2 + srl a4, s11, gp + ori s3, s0, -107 + lui a6, 588415 + sra s3, s0, a5 + xor a4, s1, a5 + andi a3, t2, 1872 + slti t6, t2, -1613 + lui a6, 588415 + srl a4, s11, gp + slt t0, s7, t6 + sra s3, s0, a5 + slli ra, s1, 16 + sll t5, a2, zero + slli ra, s1, 16 + nop + sltiu zero, s2, 1133 + slti t6, t2, -1613 + srli s3, s9, 13 + lui a6, 588415 + auipc a5, 345872 + add s10, t0, t2 + slti t6, t2, -1613 + or s7, a2, s3 + sra s3, s0, a5 + and a5, t4, t6 + srl a4, s11, gp + srli s3, s9, 13 + slti t6, t2, -1613 + srl a4, s11, gp + lui a6, 588415 + srl a4, s11, gp + nop + and a5, t4, t6 + sltu s6, s6, s5 + slt t0, s7, t6 + sll t5, a2, zero + andi a3, t2, 1872 + sll t5, a2, zero + srai a0, s9, 31 + andi a3, t2, 1872 + xor a4, s1, a5 + or s7, a2, s3 + sra s3, s0, a5 + add s10, t0, t2 + xori s8, s5, 235 + srai a0, s9, 31 + lui a6, 588415 + srai a0, s9, 31 + auipc a5, 345872 + srai a0, s9, 31 + sltiu zero, s2, 1133 + srai a0, s9, 31 + slt t0, s7, t6 + add s10, t0, t2 + slti t6, t2, -1613 + andi a3, t2, 1872 + or s7, a2, s3 + sltiu zero, s2, 1133 + sub s1, t5, s9 + sra s3, s0, a5 + slt t0, s7, t6 + xor a4, s1, a5 + andi a3, t2, 1872 + sll t5, a2, zero + addi a7, a1, -1289 + slt t0, s7, t6 + sltu s6, s6, s5 + srai a0, s9, 31 + srli s3, s9, 13 + sub s1, t5, s9 + auipc a5, 345872 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + slli ra, s1, 16 + slt t0, s7, t6 + and a5, t4, t6 + andi a3, t2, 1872 + slli ra, s1, 16 + lui a6, 588415 + add s10, t0, t2 + sll t5, a2, zero + slli ra, s1, 16 + sltiu zero, s2, 1133 + xori s8, s5, 235 + slti t6, t2, -1613 + srai a0, s9, 31 + lui a6, 588415 + xori s8, s5, 235 + sub s1, t5, s9 + and a5, t4, t6 + srli s3, s9, 13 + addi a7, a1, -1289 + slt t0, s7, t6 + sra s3, s0, a5 + srai a0, s9, 31 + ori s3, s0, -107 + srli s3, s9, 13 + ori s3, s0, -107 + srli s3, s9, 13 + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + ori s3, s0, -107 + slli ra, s1, 16 + srli s3, s9, 13 + slt t0, s7, t6 + lui a6, 588415 + xor a4, s1, a5 + or s7, a2, s3 + slt t0, s7, t6 + srli s3, s9, 13 + srl a4, s11, gp + slti t6, t2, -1613 + lui a6, 588415 + addi a7, a1, -1289 + srli s3, s9, 13 + sltiu zero, s2, 1133 + srli s3, s9, 13 + ori s3, s0, -107 + addi a7, a1, -1289 + sltu s6, s6, s5 + auipc a5, 345872 + sll t5, a2, zero + slt t0, s7, t6 + slt t0, s7, t6 + andi a3, t2, 1872 + andi a3, t2, 1872 + srli s3, s9, 13 + xori s8, s5, 235 + ori s3, s0, -107 + sub s1, t5, s9 + slti t6, t2, -1613 + srl a4, s11, gp + sub s1, t5, s9 + nop + xori s8, s5, 235 + auipc a5, 345872 + sra s3, s0, a5 + auipc a5, 345872 + sll t5, a2, zero + sltiu zero, s2, 1133 + xor a4, s1, a5 + addi a7, a1, -1289 + slt t0, s7, t6 + srai a0, s9, 31 + slt t0, s7, t6 + sub s1, t5, s9 + sll t5, a2, zero + sub s1, t5, s9 + sltu s6, s6, s5 + slt t0, s7, t6 + or s7, a2, s3 + sltu s6, s6, s5 + ori s3, s0, -107 + xori s8, s5, 235 + sra s3, s0, a5 + sltu s6, s6, s5 + andi a3, t2, 1872 + srai a0, s9, 31 + and a5, t4, t6 + slt t0, s7, t6 + sltu s6, s6, s5 + srli s3, s9, 13 + auipc a5, 345872 + add s10, t0, t2 + sub s1, t5, s9 + auipc a5, 345872 + andi a3, t2, 1872 + lui a6, 588415 + sltu s6, s6, s5 + andi a3, t2, 1872 + nop + addi a7, a1, -1289 + srli s3, s9, 13 + srai a0, s9, 31 + slli ra, s1, 16 + auipc a5, 345872 + sltiu zero, s2, 1133 + or s7, a2, s3 + ori s3, s0, -107 + or s7, a2, s3 + srli s3, s9, 13 + auipc a5, 345872 + andi a3, t2, 1872 + andi a3, t2, 1872 + xori s8, s5, 235 + andi a3, t2, 1872 + sll t5, a2, zero + xor a4, s1, a5 + sltiu zero, s2, 1133 + srl a4, s11, gp + sll t5, a2, zero + srai a0, s9, 31 + srai a0, s9, 31 + srai a0, s9, 31 + slli ra, s1, 16 + slt t0, s7, t6 + srl a4, s11, gp + ori s3, s0, -107 + add s10, t0, t2 + xor a4, s1, a5 + or s7, a2, s3 + xori s8, s5, 235 + or s7, a2, s3 + lui a6, 588415 + xor a4, s1, a5 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + ori s3, s0, -107 + add s10, t0, t2 + or s7, a2, s3 + add s10, t0, t2 + sll t5, a2, zero + sltiu zero, s2, 1133 + or s7, a2, s3 + and a5, t4, t6 + srl a4, s11, gp + srli s3, s9, 13 + and a5, t4, t6 + lui a6, 588415 + srli s3, s9, 13 + xor a4, s1, a5 + nop + auipc a5, 345872 + auipc a5, 345872 + srl a4, s11, gp + xor a4, s1, a5 + add s10, t0, t2 + xor a4, s1, a5 + slt t0, s7, t6 + addi a7, a1, -1289 + srl a4, s11, gp + slti t6, t2, -1613 + sltiu zero, s2, 1133 + srli s3, s9, 13 + and a5, t4, t6 + add s10, t0, t2 + sra s3, s0, a5 + add s10, t0, t2 + sub s1, t5, s9 + srai a0, s9, 31 + or s7, a2, s3 + slti t6, t2, -1613 + add s10, t0, t2 + addi a7, a1, -1289 + srl a4, s11, gp + slti t6, t2, -1613 + slli ra, s1, 16 + and a5, t4, t6 + andi a3, t2, 1872 + sra s3, s0, a5 + ori s3, s0, -107 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + nop + andi a3, t2, 1872 + and a5, t4, t6 + slli ra, s1, 16 + addi a7, a1, -1289 + ori s3, s0, -107 + xori s8, s5, 235 + or s7, a2, s3 + addi a7, a1, -1289 + add s10, t0, t2 + xor a4, s1, a5 + ori s3, s0, -107 + and a5, t4, t6 + srli s3, s9, 13 + srl a4, s11, gp + add s10, t0, t2 + or s7, a2, s3 + srli s3, s9, 13 + andi a3, t2, 1872 + slli ra, s1, 16 + auipc a5, 345872 + slti t6, t2, -1613 + sltu s6, s6, s5 + and a5, t4, t6 + sub s1, t5, s9 + auipc a5, 345872 + sltu s6, s6, s5 + andi a3, t2, 1872 + auipc a5, 345872 + sll t5, a2, zero + lui a6, 588415 + lui a6, 588415 + or s7, a2, s3 + ori s3, s0, -107 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + xor a4, s1, a5 + nop + or s7, a2, s3 + ori s3, s0, -107 + slti t6, t2, -1613 + sra s3, s0, a5 + nop + sltu s6, s6, s5 + add s10, t0, t2 + srai a0, s9, 31 + slti t6, t2, -1613 + srai a0, s9, 31 + slli ra, s1, 16 + sltiu zero, s2, 1133 + xor a4, s1, a5 + sra s3, s0, a5 + and a5, t4, t6 + auipc a5, 345872 + xor a4, s1, a5 + nop + ori s3, s0, -107 + sll t5, a2, zero + sub s1, t5, s9 + auipc a5, 345872 + addi a7, a1, -1289 + srl a4, s11, gp + sub s1, t5, s9 + srai a0, s9, 31 + ori s3, s0, -107 + srl a4, s11, gp + slt t0, s7, t6 + sltu s6, s6, s5 + sll t5, a2, zero + or s7, a2, s3 + xor a4, s1, a5 + srli s3, s9, 13 + andi a3, t2, 1872 + lui a6, 588415 + and a5, t4, t6 + add s10, t0, t2 + lui a6, 588415 + ori s3, s0, -107 + sltu s6, s6, s5 + slti t6, t2, -1613 + andi a3, t2, 1872 + sll t5, a2, zero + srli s3, s9, 13 + sltu s6, s6, s5 + ori s3, s0, -107 + slli ra, s1, 16 + nop + or s7, a2, s3 + xor a4, s1, a5 + and a5, t4, t6 + ori s3, s0, -107 + srl a4, s11, gp + sltiu zero, s2, 1133 + and a5, t4, t6 + or s7, a2, s3 + lui a6, 588415 + lui a6, 588415 + ori s3, s0, -107 + xori s8, s5, 235 + andi a3, t2, 1872 + sra s3, s0, a5 + srli s3, s9, 13 + lui a6, 588415 + add s10, t0, t2 + and a5, t4, t6 + srl a4, s11, gp + srai a0, s9, 31 + sltu s6, s6, s5 + srai a0, s9, 31 + add s10, t0, t2 + sltiu zero, s2, 1133 + srai a0, s9, 31 + xori s8, s5, 235 + slt t0, s7, t6 + sub s1, t5, s9 + srai a0, s9, 31 + srl a4, s11, gp + addi a7, a1, -1289 + ori s3, s0, -107 + lui a6, 588415 + addi a7, a1, -1289 + slli ra, s1, 16 + sll t5, a2, zero + srl a4, s11, gp + slti t6, t2, -1613 + addi a7, a1, -1289 + srai a0, s9, 31 + slti t6, t2, -1613 + add s10, t0, t2 + xor a4, s1, a5 + nop + xor a4, s1, a5 + slt t0, s7, t6 + srl a4, s11, gp + sltu s6, s6, s5 + sub s1, t5, s9 + slti t6, t2, -1613 + add s10, t0, t2 + auipc a5, 345872 + auipc a5, 345872 + srl a4, s11, gp + srai a0, s9, 31 + sll t5, a2, zero + sltiu zero, s2, 1133 + and a5, t4, t6 + or s7, a2, s3 + and a5, t4, t6 + xori s8, s5, 235 + srai a0, s9, 31 + sll t5, a2, zero + slli ra, s1, 16 + srai a0, s9, 31 + xor a4, s1, a5 + sub s1, t5, s9 + or s7, a2, s3 + and a5, t4, t6 + lui a6, 588415 + or s7, a2, s3 + xori s8, s5, 235 + auipc a5, 345872 + sra s3, s0, a5 + nop + srl a4, s11, gp + xor a4, s1, a5 + sltiu zero, s2, 1133 + nop + slli ra, s1, 16 + lui a6, 588415 + add s10, t0, t2 + srai a0, s9, 31 + and a5, t4, t6 + sra s3, s0, a5 + sltiu zero, s2, 1133 + or s7, a2, s3 + and a5, t4, t6 + slti t6, t2, -1613 + sltu s6, s6, s5 + sra s3, s0, a5 + sltu s6, s6, s5 + sub s1, t5, s9 + andi a3, t2, 1872 + add s10, t0, t2 + slti t6, t2, -1613 + slt t0, s7, t6 + slti t6, t2, -1613 + sub s1, t5, s9 + slli ra, s1, 16 + srl a4, s11, gp + ori s3, s0, -107 + sll t5, a2, zero + srai a0, s9, 31 + sra s3, s0, a5 + srai a0, s9, 31 + slt t0, s7, t6 + srl a4, s11, gp + and a5, t4, t6 + xor a4, s1, a5 + srli s3, s9, 13 + sra s3, s0, a5 + addi a7, a1, -1289 + addi a7, a1, -1289 + or s7, a2, s3 + auipc a5, 345872 + ori s3, s0, -107 + srai a0, s9, 31 + auipc a5, 345872 + srli s3, s9, 13 + xor a4, s1, a5 + andi a3, t2, 1872 + or s7, a2, s3 + sltiu zero, s2, 1133 + auipc a5, 345872 + ori s3, s0, -107 + auipc a5, 345872 + sll t5, a2, zero + srai a0, s9, 31 + sra s3, s0, a5 + sltiu zero, s2, 1133 + srl a4, s11, gp + xori s8, s5, 235 + and a5, t4, t6 + sll t5, a2, zero + ori s3, s0, -107 + lui a6, 588415 + ori s3, s0, -107 + or s7, a2, s3 + srai a0, s9, 31 + slt t0, s7, t6 + srli s3, s9, 13 + sltu s6, s6, s5 + lui a6, 588415 + srl a4, s11, gp + addi a7, a1, -1289 + xori s8, s5, 235 + addi a7, a1, -1289 + add s10, t0, t2 + srai a0, s9, 31 + lui a6, 588415 + nop + xori s8, s5, 235 + sra s3, s0, a5 + slti t6, t2, -1613 + ori s3, s0, -107 + xor a4, s1, a5 + or s7, a2, s3 + slt t0, s7, t6 + andi a3, t2, 1872 + sll t5, a2, zero + lui a6, 588415 + sra s3, s0, a5 + sub s1, t5, s9 + srli s3, s9, 13 + srl a4, s11, gp + sltiu zero, s2, 1133 + ori s3, s0, -107 + sltu s6, s6, s5 + sra s3, s0, a5 + ori s3, s0, -107 + sll t5, a2, zero + srli s3, s9, 13 + srli s3, s9, 13 + srai a0, s9, 31 + ori s3, s0, -107 + sltiu zero, s2, 1133 + ori s3, s0, -107 + ori s3, s0, -107 + lui a6, 588415 + srai a0, s9, 31 + srl a4, s11, gp + addi a7, a1, -1289 + sltiu zero, s2, 1133 + srl a4, s11, gp + srli s3, s9, 13 + lui a6, 588415 + lui a6, 588415 + sra s3, s0, a5 + sub s1, t5, s9 + srl a4, s11, gp + xor a4, s1, a5 + srl a4, s11, gp + sra s3, s0, a5 + andi a3, t2, 1872 + nop + and a5, t4, t6 + slti t6, t2, -1613 + sltu s6, s6, s5 + sltu s6, s6, s5 + srl a4, s11, gp + srli s3, s9, 13 + lui a6, 588415 + auipc a5, 345872 + add s10, t0, t2 + lui a6, 588415 + srai a0, s9, 31 + xor a4, s1, a5 + slt t0, s7, t6 + sub s1, t5, s9 + lui a6, 588415 + addi a7, a1, -1289 + slti t6, t2, -1613 + sltu s6, s6, s5 + srai a0, s9, 31 + lui a6, 588415 + ori s3, s0, -107 + sltiu zero, s2, 1133 + srai a0, s9, 31 + xori s8, s5, 235 + sltu s6, s6, s5 + andi a3, t2, 1872 + addi a7, a1, -1289 + xori s8, s5, 235 + auipc a5, 345872 + andi a3, t2, 1872 + ori s3, s0, -107 + srli s3, s9, 13 + andi a3, t2, 1872 + ori s3, s0, -107 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + or s7, a2, s3 + srl a4, s11, gp + nop + or s7, a2, s3 + slti t6, t2, -1613 + slti t6, t2, -1613 + ori s3, s0, -107 + addi a7, a1, -1289 + srli s3, s9, 13 + srli s3, s9, 13 + nop + xor a4, s1, a5 + sltu s6, s6, s5 + sltu s6, s6, s5 + and a5, t4, t6 + and a5, t4, t6 + ori s3, s0, -107 + sub s1, t5, s9 + slt t0, s7, t6 + and a5, t4, t6 + and a5, t4, t6 + xor a4, s1, a5 + slti t6, t2, -1613 + sltu s6, s6, s5 + slli ra, s1, 16 + andi a3, t2, 1872 + slti t6, t2, -1613 + sll t5, a2, zero + sra s3, s0, a5 + sltu s6, s6, s5 + xor a4, s1, a5 + nop + sra s3, s0, a5 + and a5, t4, t6 + or s7, a2, s3 + sltiu zero, s2, 1133 + nop + auipc a5, 345872 + slli ra, s1, 16 + sra s3, s0, a5 + sra s3, s0, a5 + srli s3, s9, 13 + or s7, a2, s3 + ori s3, s0, -107 + add s10, t0, t2 + addi a7, a1, -1289 + ori s3, s0, -107 + ori s3, s0, -107 + or s7, a2, s3 + sll t5, a2, zero + slli ra, s1, 16 + sll t5, a2, zero + add s10, t0, t2 + xori s8, s5, 235 + lui a6, 588415 + and a5, t4, t6 + srli s3, s9, 13 + lui a6, 588415 + addi a7, a1, -1289 + slli ra, s1, 16 + xor a4, s1, a5 + slt t0, s7, t6 + sub s1, t5, s9 + srl a4, s11, gp + srli s3, s9, 13 + srli s3, s9, 13 + nop + sltu s6, s6, s5 + add s10, t0, t2 + addi a7, a1, -1289 + xori s8, s5, 235 + andi a3, t2, 1872 + auipc a5, 345872 + sub s1, t5, s9 + ori s3, s0, -107 + add s10, t0, t2 + or s7, a2, s3 + srai a0, s9, 31 + sub s1, t5, s9 + sltu s6, s6, s5 + addi a7, a1, -1289 + sub s1, t5, s9 + slli ra, s1, 16 + auipc a5, 345872 + ori s3, s0, -107 + li a4, 0x0 #start riscv_int_numeric_corner_stream_2 + li t1, 0x530de810 + li s3, 0x80000000 + li a5, 0x80000000 + li sp, 0x80000000 + li a2, 0xaa5f53e2 + li a7, 0x80000000 + li s8, 0x0 + li a6, 0x80000000 + li a0, 0x80000000 + sub a0, a7, s3 + nop + auipc a5, 345872 + addi a0, s3, -1289 + add a7, a5, s3 + add a7, a5, s3 + sub a0, a7, s3 + lui a6, 588415 + add a7, a5, s3 + auipc a5, 345872 + nop + addi a0, s3, -1289 + lui a6, 588415 + add a7, a5, s3 + addi a0, s3, -1289 + auipc a5, 345872 + lui a6, 588415 #end riscv_int_numeric_corner_stream_2 + nop + sub s1, t5, s9 + nop + slti t6, t2, -1613 + addi a7, a1, -1289 + addi a7, a1, -1289 + sll t5, a2, zero + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + add s10, t0, t2 + or s7, a2, s3 + sra s3, s0, a5 + auipc a5, 345872 + nop + srai a0, s9, 31 + xor a4, s1, a5 + slt t0, s7, t6 + sltu s6, s6, s5 + sltu s6, s6, s5 + nop + nop + slt t0, s7, t6 + sll t5, a2, zero + auipc a5, 345872 + slti t6, t2, -1613 + srli s3, s9, 13 + slt t0, s7, t6 + xori s8, s5, 235 + xori s8, s5, 235 + sra s3, s0, a5 + sltu s6, s6, s5 + andi a3, t2, 1872 + sll t5, a2, zero + addi a7, a1, -1289 + xori s8, s5, 235 + slti t6, t2, -1613 + xor a4, s1, a5 + slli ra, s1, 16 + nop + nop + add s10, t0, t2 + addi a7, a1, -1289 + slti t6, t2, -1613 + xori s8, s5, 235 + sltiu zero, s2, 1133 + lui a6, 588415 + nop + slti t6, t2, -1613 + sll t5, a2, zero + sub s1, t5, s9 + slli ra, s1, 16 + sltiu zero, s2, 1133 + srl a4, s11, gp + sll t5, a2, zero + or s7, a2, s3 + srli s3, s9, 13 + srli s3, s9, 13 + srli s3, s9, 13 + xor a4, s1, a5 + auipc a5, 345872 + xor a4, s1, a5 + addi a7, a1, -1289 + auipc a5, 345872 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + or s7, a2, s3 + nop + ori s3, s0, -107 + add s10, t0, t2 + add s10, t0, t2 + sub s1, t5, s9 + lui a6, 588415 + srai a0, s9, 31 + xor a4, s1, a5 + nop + xor a4, s1, a5 + sub s1, t5, s9 + add s10, t0, t2 + and a5, t4, t6 + sll t5, a2, zero + srai a0, s9, 31 + auipc a5, 345872 + add s10, t0, t2 + add s10, t0, t2 + srai a0, s9, 31 + andi a3, t2, 1872 + ori s3, s0, -107 + sub s1, t5, s9 + slli ra, s1, 16 + sub s1, t5, s9 + srli s3, s9, 13 + sll t5, a2, zero + sra s3, s0, a5 + li a0, 0x80000000 #start riscv_int_numeric_corner_stream_11 + li s3, 0xffffffff + li s6, 0x9c03126f + li a6, 0x0 + li s2, 0x65df3ce6 + li t1, 0xffffffff + li t6, 0xbf1fa142 + li a7, 0x9cf4874a + li s1, 0x0 + li a4, 0xd9f1ad42 + sub s1, s6, s1 + addi a0, s3, -1289 + addi a0, s3, -1289 + addi a0, s3, -1289 + addi a0, s3, -1289 + auipc s1, 345872 + sub s1, s6, s1 + sub s1, s6, s1 + add a6, t6, s3 + add a6, t6, s3 + add a6, t6, s3 + addi a0, s3, -1289 + lui a6, 588415 + lui a6, 588415 + lui a6, 588415 #end riscv_int_numeric_corner_stream_11 + sltiu zero, s2, 1133 + ori s3, s0, -107 + srl a4, s11, gp + slti t6, t2, -1613 + add s10, t0, t2 + and a5, t4, t6 + ori s3, s0, -107 + sra s3, s0, a5 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + xor a4, s1, a5 + andi a3, t2, 1872 + lui a6, 588415 + auipc a5, 345872 + xori s8, s5, 235 + or s7, a2, s3 + lui a6, 588415 + and a5, t4, t6 + slli ra, s1, 16 + srl a4, s11, gp + addi a7, a1, -1289 + or s7, a2, s3 + auipc a5, 345872 + srl a4, s11, gp + xor a4, s1, a5 + xor a4, s1, a5 + add s10, t0, t2 + slli ra, s1, 16 + sltu s6, s6, s5 + andi a3, t2, 1872 + auipc a5, 345872 + sra s3, s0, a5 + and a5, t4, t6 + sltiu zero, s2, 1133 + or s7, a2, s3 + slti t6, t2, -1613 + addi a7, a1, -1289 + xori s8, s5, 235 + nop + lui a6, 588415 + slt t0, s7, t6 + xor a4, s1, a5 + sll t5, a2, zero + and a5, t4, t6 + srai a0, s9, 31 + andi a3, t2, 1872 + xor a4, s1, a5 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + xori s8, s5, 235 + slti t6, t2, -1613 + sub s1, t5, s9 + sltu s6, s6, s5 + and a5, t4, t6 + sub s1, t5, s9 + srli s3, s9, 13 + addi a7, a1, -1289 + or s7, a2, s3 + sltu s6, s6, s5 + xor a4, s1, a5 + sltiu zero, s2, 1133 + srl a4, s11, gp + sub s1, t5, s9 + srli s3, s9, 13 + srai a0, s9, 31 + srai a0, s9, 31 + or s7, a2, s3 + slt t0, s7, t6 + xor a4, s1, a5 + and a5, t4, t6 + sub s1, t5, s9 + or s7, a2, s3 + lui a6, 588415 + auipc a5, 345872 + srl a4, s11, gp + sltu s6, s6, s5 + or s7, a2, s3 + sra s3, s0, a5 + lui a6, 588415 + xor a4, s1, a5 + lui a6, 588415 + slli ra, s1, 16 + sltu s6, s6, s5 + sra s3, s0, a5 + sub s1, t5, s9 + or s7, a2, s3 + sra s3, s0, a5 + or s7, a2, s3 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + or s7, a2, s3 + andi a3, t2, 1872 + slti t6, t2, -1613 + addi a7, a1, -1289 + srai a0, s9, 31 + sra s3, s0, a5 + sub s1, t5, s9 + xori s8, s5, 235 + slt t0, s7, t6 + ori s3, s0, -107 + srl a4, s11, gp + xori s8, s5, 235 + sltu s6, s6, s5 + nop + sra s3, s0, a5 + sub s1, t5, s9 + lui a6, 588415 + or s7, a2, s3 + srli s3, s9, 13 + lui a6, 588415 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + srai a0, s9, 31 + slli ra, s1, 16 + lui a6, 588415 + sltu s6, s6, s5 + srai a0, s9, 31 + sra s3, s0, a5 + srl a4, s11, gp + ori s3, s0, -107 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + srl a4, s11, gp + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + add s10, t0, t2 + nop + ori s3, s0, -107 + nop + addi a7, a1, -1289 + sub s1, t5, s9 + add s10, t0, t2 + lui a6, 588415 + srai a0, s9, 31 + slti t6, t2, -1613 + srl a4, s11, gp + xori s8, s5, 235 + or s7, a2, s3 + sra s3, s0, a5 + srli s3, s9, 13 + sra s3, s0, a5 + srli s3, s9, 13 + sra s3, s0, a5 + srl a4, s11, gp + xori s8, s5, 235 + srai a0, s9, 31 + sra s3, s0, a5 + addi a7, a1, -1289 + or s7, a2, s3 + sll t5, a2, zero + srli s3, s9, 13 + slt t0, s7, t6 + andi a3, t2, 1872 + or s7, a2, s3 + lui a6, 588415 + xori s8, s5, 235 + xor a4, s1, a5 + srl a4, s11, gp + sub s1, t5, s9 + auipc a5, 345872 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + xor a4, s1, a5 + addi a7, a1, -1289 + addi a7, a1, -1289 + xor a4, s1, a5 + xori s8, s5, 235 + addi a7, a1, -1289 + ori s3, s0, -107 + srai a0, s9, 31 + addi a7, a1, -1289 + sub s1, t5, s9 + srli s3, s9, 13 + add s10, t0, t2 + sll t5, a2, zero + ori s3, s0, -107 + sltu s6, s6, s5 + nop + sll t5, a2, zero + xor a4, s1, a5 + sltiu zero, s2, 1133 + sub s1, t5, s9 + xori s8, s5, 235 + srai a0, s9, 31 + and a5, t4, t6 + srli s3, s9, 13 + sll t5, a2, zero + xori s8, s5, 235 + sltu s6, s6, s5 + add s10, t0, t2 + slli ra, s1, 16 + srli s3, s9, 13 + nop + srli s3, s9, 13 + and a5, t4, t6 + srai a0, s9, 31 + and a5, t4, t6 + xor a4, s1, a5 + xor a4, s1, a5 + ori s3, s0, -107 + xori s8, s5, 235 + and a5, t4, t6 + addi a7, a1, -1289 + and a5, t4, t6 + auipc a5, 345872 + sra s3, s0, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + slli ra, s1, 16 + xor a4, s1, a5 + sltu s6, s6, s5 + sra s3, s0, a5 + sra s3, s0, a5 + sll t5, a2, zero + sra s3, s0, a5 + xor a4, s1, a5 + ori s3, s0, -107 + xor a4, s1, a5 + sltiu zero, s2, 1133 + xori s8, s5, 235 + slt t0, s7, t6 + sra s3, s0, a5 + auipc a5, 345872 + srai a0, s9, 31 + and a5, t4, t6 + and a5, t4, t6 + sra s3, s0, a5 + sll t5, a2, zero + or s7, a2, s3 + srli s3, s9, 13 + andi a3, t2, 1872 + srl a4, s11, gp + andi a3, t2, 1872 + xori s8, s5, 235 + sll t5, a2, zero + srl a4, s11, gp + ori s3, s0, -107 + sll t5, a2, zero + sltu s6, s6, s5 + srli s3, s9, 13 + or s7, a2, s3 + xor a4, s1, a5 + auipc a5, 345872 + slti t6, t2, -1613 + lui a6, 588415 + srai a0, s9, 31 + slti t6, t2, -1613 + sll t5, a2, zero + sll t5, a2, zero + srai a0, s9, 31 + srli s3, s9, 13 + xor a4, s1, a5 + sra s3, s0, a5 + sltiu zero, s2, 1133 + and a5, t4, t6 + sltiu zero, s2, 1133 + auipc a5, 345872 + andi a3, t2, 1872 + srli s3, s9, 13 + ori s3, s0, -107 + srai a0, s9, 31 + slt t0, s7, t6 + lui a6, 588415 + and a5, t4, t6 + sll t5, a2, zero + sltu s6, s6, s5 + add s10, t0, t2 + slti t6, t2, -1613 + xor a4, s1, a5 + andi a3, t2, 1872 + slli ra, s1, 16 + sra s3, s0, a5 + sll t5, a2, zero + slt t0, s7, t6 + srl a4, s11, gp + lui a6, 588415 + or s7, a2, s3 + srl a4, s11, gp + sra s3, s0, a5 + sltiu zero, s2, 1133 + ori s3, s0, -107 + xor a4, s1, a5 + sll t5, a2, zero + sll t5, a2, zero + ori s3, s0, -107 + auipc a5, 345872 + sltu s6, s6, s5 + sra s3, s0, a5 + add s10, t0, t2 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + lui a6, 588415 + sll t5, a2, zero + sltiu zero, s2, 1133 + srli s3, s9, 13 + andi a3, t2, 1872 + sra s3, s0, a5 + and a5, t4, t6 + auipc a5, 345872 + lui a6, 588415 + ori s3, s0, -107 + and a5, t4, t6 + sra s3, s0, a5 + addi a7, a1, -1289 + sll t5, a2, zero + sub s1, t5, s9 + sub s1, t5, s9 + xor a4, s1, a5 + sltiu zero, s2, 1133 + sub s1, t5, s9 + addi a7, a1, -1289 + srli s3, s9, 13 + xori s8, s5, 235 + slti t6, t2, -1613 + andi a3, t2, 1872 + lui a6, 588415 + srli s3, s9, 13 + sltu s6, s6, s5 + xor a4, s1, a5 + add s10, t0, t2 + sub s1, t5, s9 + nop + slli ra, s1, 16 + srai a0, s9, 31 + srl a4, s11, gp + sltu s6, s6, s5 + slti t6, t2, -1613 + auipc a5, 345872 + or s7, a2, s3 + slli ra, s1, 16 + andi a3, t2, 1872 + srai a0, s9, 31 + srai a0, s9, 31 + xori s8, s5, 235 + sub s1, t5, s9 + sll t5, a2, zero + srai a0, s9, 31 + andi a3, t2, 1872 + xor a4, s1, a5 + sub s1, t5, s9 + lui a6, 588415 + sll t5, a2, zero + srai a0, s9, 31 + sltiu zero, s2, 1133 + sub s1, t5, s9 + ori s3, s0, -107 + xor a4, s1, a5 + slt t0, s7, t6 + srli s3, s9, 13 + xor a4, s1, a5 + slti t6, t2, -1613 + srl a4, s11, gp + add s10, t0, t2 + xor a4, s1, a5 + sltiu zero, s2, 1133 + slli ra, s1, 16 + add s10, t0, t2 + srli s3, s9, 13 + and a5, t4, t6 + auipc a5, 345872 + and a5, t4, t6 + sll t5, a2, zero + slti t6, t2, -1613 + sub s1, t5, s9 + auipc a5, 345872 + nop + auipc a5, 345872 + sub s1, t5, s9 + srli s3, s9, 13 + and a5, t4, t6 + srl a4, s11, gp + or s7, a2, s3 + auipc a5, 345872 + sll t5, a2, zero + sll t5, a2, zero + sltu s6, s6, s5 + sltiu zero, s2, 1133 + add s10, t0, t2 + sll t5, a2, zero + sra s3, s0, a5 + slli ra, s1, 16 + sra s3, s0, a5 + nop + sll t5, a2, zero + slt t0, s7, t6 + addi a7, a1, -1289 + sltu s6, s6, s5 + auipc a5, 345872 + xori s8, s5, 235 + xori s8, s5, 235 + and a5, t4, t6 + xori s8, s5, 235 + sltiu zero, s2, 1133 + srl a4, s11, gp + and a5, t4, t6 + addi a7, a1, -1289 + slti t6, t2, -1613 + sub s1, t5, s9 + and a5, t4, t6 + and a5, t4, t6 + ori s3, s0, -107 + srai a0, s9, 31 + srai a0, s9, 31 + srai a0, s9, 31 + or s7, a2, s3 + xor a4, s1, a5 + auipc a5, 345872 + xori s8, s5, 235 + xor a4, s1, a5 + auipc a5, 345872 + srl a4, s11, gp + ori s3, s0, -107 + slli ra, s1, 16 + slti t6, t2, -1613 + srli s3, s9, 13 + ori s3, s0, -107 + slt t0, s7, t6 + slli ra, s1, 16 + lui a6, 588415 + andi a3, t2, 1872 + lui a6, 588415 + sub s1, t5, s9 + sltu s6, s6, s5 + sub s1, t5, s9 + sll t5, a2, zero + sltiu zero, s2, 1133 + xor a4, s1, a5 + sltiu zero, s2, 1133 + lui a6, 588415 + sltiu zero, s2, 1133 + and a5, t4, t6 + andi a3, t2, 1872 + auipc a5, 345872 + ori s3, s0, -107 + slti t6, t2, -1613 + sltu s6, s6, s5 + srl a4, s11, gp + andi a3, t2, 1872 + slt t0, s7, t6 + srli s3, s9, 13 + xor a4, s1, a5 + slt t0, s7, t6 + and a5, t4, t6 + sll t5, a2, zero + srli s3, s9, 13 + addi a7, a1, -1289 + auipc a5, 345872 + xori s8, s5, 235 + srli s3, s9, 13 + srl a4, s11, gp + nop + andi a3, t2, 1872 + xor a4, s1, a5 + slli ra, s1, 16 + xori s8, s5, 235 + slt t0, s7, t6 + sltiu zero, s2, 1133 + ori s3, s0, -107 + sll t5, a2, zero + srai a0, s9, 31 + srli s3, s9, 13 + srli s3, s9, 13 + or s7, a2, s3 + nop + slli ra, s1, 16 + addi a7, a1, -1289 + slt t0, s7, t6 + sub s1, t5, s9 + auipc a5, 345872 + sll t5, a2, zero + sltiu zero, s2, 1133 + lui a6, 588415 + add s10, t0, t2 + sltu s6, s6, s5 + sltu s6, s6, s5 + srai a0, s9, 31 + slt t0, s7, t6 + slti t6, t2, -1613 + srli s3, s9, 13 + auipc a5, 345872 + auipc a5, 345872 + sltiu zero, s2, 1133 + srl a4, s11, gp + srai a0, s9, 31 + xori s8, s5, 235 + and a5, t4, t6 + slti t6, t2, -1613 + or s7, a2, s3 + sltu s6, s6, s5 + nop + xori s8, s5, 235 + sll t5, a2, zero + ori s3, s0, -107 + ori s3, s0, -107 + sra s3, s0, a5 + lui a6, 588415 + srai a0, s9, 31 + andi a3, t2, 1872 + nop + sltu s6, s6, s5 + or s7, a2, s3 + sltiu zero, s2, 1133 + sub s1, t5, s9 + auipc a5, 345872 + xori s8, s5, 235 + lui a6, 588415 + and a5, t4, t6 + sll t5, a2, zero + or s7, a2, s3 + andi a3, t2, 1872 + srli s3, s9, 13 + srai a0, s9, 31 + sub s1, t5, s9 + slti t6, t2, -1613 + xor a4, s1, a5 + xor a4, s1, a5 + sll t5, a2, zero + slt t0, s7, t6 + auipc a5, 345872 + lui a6, 588415 + xori s8, s5, 235 + sra s3, s0, a5 + sltu s6, s6, s5 + srai a0, s9, 31 + lui a6, 588415 + slli ra, s1, 16 + xori s8, s5, 235 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + sub s1, t5, s9 + add s10, t0, t2 + sltiu zero, s2, 1133 + sll t5, a2, zero + and a5, t4, t6 + sltiu zero, s2, 1133 + srli s3, s9, 13 + srai a0, s9, 31 + slli ra, s1, 16 + addi a7, a1, -1289 + xori s8, s5, 235 + srai a0, s9, 31 + ori s3, s0, -107 + andi a3, t2, 1872 + ori s3, s0, -107 + xor a4, s1, a5 + andi a3, t2, 1872 + lui a6, 588415 + slt t0, s7, t6 + sub s1, t5, s9 + auipc a5, 345872 + add s10, t0, t2 + sra s3, s0, a5 + sll t5, a2, zero + andi a3, t2, 1872 + addi a7, a1, -1289 + sra s3, s0, a5 + srl a4, s11, gp + andi a3, t2, 1872 + ori s3, s0, -107 + sra s3, s0, a5 + lui a6, 588415 + sub s1, t5, s9 + sll t5, a2, zero + add s10, t0, t2 + xor a4, s1, a5 + sll t5, a2, zero + sltu s6, s6, s5 + slli ra, s1, 16 + sll t5, a2, zero + addi a7, a1, -1289 + lui a6, 588415 + or s7, a2, s3 + srli s3, s9, 13 + sll t5, a2, zero + slt t0, s7, t6 + add s10, t0, t2 + sltiu zero, s2, 1133 + sll t5, a2, zero + or s7, a2, s3 + and a5, t4, t6 + srai a0, s9, 31 + nop + xori s8, s5, 235 + sub s1, t5, s9 + slli ra, s1, 16 + ori s3, s0, -107 + add s10, t0, t2 + srli s3, s9, 13 + nop + slt t0, s7, t6 + sltiu zero, s2, 1133 + sll t5, a2, zero + xori s8, s5, 235 + lui a6, 588415 + or s7, a2, s3 + and a5, t4, t6 + add s10, t0, t2 + ori s3, s0, -107 + sll t5, a2, zero + addi a7, a1, -1289 + sub s1, t5, s9 + lui a6, 588415 + add s10, t0, t2 + xori s8, s5, 235 + sll t5, a2, zero + xori s8, s5, 235 + srai a0, s9, 31 + add s10, t0, t2 + slli ra, s1, 16 + srl a4, s11, gp + sltu s6, s6, s5 + andi a3, t2, 1872 + nop + ori s3, s0, -107 + addi a7, a1, -1289 + lui a6, 588415 + addi a7, a1, -1289 + slli ra, s1, 16 + nop + xori s8, s5, 235 + add s10, t0, t2 + xor a4, s1, a5 + andi a3, t2, 1872 + slli ra, s1, 16 + ori s3, s0, -107 + sra s3, s0, a5 + sll t5, a2, zero + sltiu zero, s2, 1133 + sltu s6, s6, s5 + xor a4, s1, a5 + or s7, a2, s3 + srl a4, s11, gp + or s7, a2, s3 + lui a6, 588415 + lui a6, 588415 + sra s3, s0, a5 + sra s3, s0, a5 + srl a4, s11, gp + ori s3, s0, -107 + addi a7, a1, -1289 + sll t5, a2, zero + auipc a5, 345872 + srl a4, s11, gp + sub s1, t5, s9 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + lui a6, 588415 + ori s3, s0, -107 + sra s3, s0, a5 + srai a0, s9, 31 + andi a3, t2, 1872 + sltu s6, s6, s5 + slti t6, t2, -1613 + xori s8, s5, 235 + addi a7, a1, -1289 + and a5, t4, t6 + srai a0, s9, 31 + lui a6, 588415 + auipc a5, 345872 + add s10, t0, t2 + slt t0, s7, t6 + li a7, 0x0 #start riscv_int_numeric_corner_stream_24 + li t5, 0x0 + li t3, 0x0 + li a3, 0x0 + li a2, 0xffffffff + li a5, 0xffffffff + li s8, 0x0 + li a6, 0x80000000 + li s11, 0xd280f193 + li s5, 0x80000000 + add s8, s8, a5 + add s8, s8, a5 + add s8, s8, a5 + auipc a5, 345872 + nop + add s8, s8, a5 + addi s8, s11, -1289 + sub t5, a7, s11 + nop + auipc a5, 345872 + add s8, s8, a5 + lui a6, 588415 + lui a6, 588415 + add s8, s8, a5 + auipc a5, 345872 + sub t5, a7, s11 + auipc a5, 345872 + auipc a5, 345872 + nop + nop + add s8, s8, a5 + nop + lui a6, 588415 + lui a6, 588415 + addi s8, s11, -1289 + addi s8, s11, -1289 + add s8, s8, a5 + addi s8, s11, -1289 + addi s8, s11, -1289 #end riscv_int_numeric_corner_stream_24 + sub s1, t5, s9 + andi a3, t2, 1872 + srl a4, s11, gp + srai a0, s9, 31 + sub s1, t5, s9 + slt t0, s7, t6 + and a5, t4, t6 + slti t6, t2, -1613 + nop + sltiu zero, s2, 1133 + andi a3, t2, 1872 + slt t0, s7, t6 + xori s8, s5, 235 + or s7, a2, s3 + add s10, t0, t2 + nop + slt t0, s7, t6 + nop + ori s3, s0, -107 + auipc a5, 345872 + sltu s6, s6, s5 + sll t5, a2, zero + sltiu zero, s2, 1133 + srl a4, s11, gp + slti t6, t2, -1613 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + srai a0, s9, 31 + nop + sub s1, t5, s9 + xor a4, s1, a5 + nop + or s7, a2, s3 + slt t0, s7, t6 + xor a4, s1, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + andi a3, t2, 1872 + sub s1, t5, s9 + srl a4, s11, gp + add s10, t0, t2 + lui a6, 588415 + lui a6, 588415 + slti t6, t2, -1613 + sltu s6, s6, s5 + sub s1, t5, s9 + addi a7, a1, -1289 + slt t0, s7, t6 + sltu s6, s6, s5 + slli ra, s1, 16 + slti t6, t2, -1613 + sll t5, a2, zero + ori s3, s0, -107 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + ori s3, s0, -107 + addi a7, a1, -1289 + slt t0, s7, t6 + xor a4, s1, a5 + srai a0, s9, 31 + ori s3, s0, -107 + sltiu zero, s2, 1133 + srl a4, s11, gp + srai a0, s9, 31 + srai a0, s9, 31 + ori s3, s0, -107 + or s7, a2, s3 + and a5, t4, t6 + xori s8, s5, 235 + sra s3, s0, a5 + addi a7, a1, -1289 + slt t0, s7, t6 + sltu s6, s6, s5 + srli s3, s9, 13 + or s7, a2, s3 + and a5, t4, t6 + sltu s6, s6, s5 + sll t5, a2, zero + srl a4, s11, gp + sltiu zero, s2, 1133 + sltu s6, s6, s5 + or s7, a2, s3 + slti t6, t2, -1613 + add s10, t0, t2 + or s7, a2, s3 + sra s3, s0, a5 + andi a3, t2, 1872 + nop + srli s3, s9, 13 + lui a6, 588415 + srl a4, s11, gp + slli ra, s1, 16 + xor a4, s1, a5 + srai a0, s9, 31 + sll t5, a2, zero + lui a6, 588415 + srli s3, s9, 13 + auipc a5, 345872 + add s10, t0, t2 + xor a4, s1, a5 + sra s3, s0, a5 + nop + sub s1, t5, s9 + slt t0, s7, t6 + xor a4, s1, a5 + srai a0, s9, 31 + srl a4, s11, gp + xor a4, s1, a5 + slli ra, s1, 16 + sra s3, s0, a5 + slli ra, s1, 16 + and a5, t4, t6 + nop + sll t5, a2, zero + add s10, t0, t2 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + or s7, a2, s3 + srl a4, s11, gp + sltiu zero, s2, 1133 + sub s1, t5, s9 + sra s3, s0, a5 + lui a6, 588415 + add s10, t0, t2 + srl a4, s11, gp + srli s3, s9, 13 + nop + slt t0, s7, t6 + slt t0, s7, t6 + add s10, t0, t2 + nop + sra s3, s0, a5 + lui a6, 588415 + slti t6, t2, -1613 + xori s8, s5, 235 + slt t0, s7, t6 + auipc a5, 345872 + sltu s6, s6, s5 + xori s8, s5, 235 + or s7, a2, s3 + slt t0, s7, t6 + srl a4, s11, gp + addi a7, a1, -1289 + xor a4, s1, a5 + srai a0, s9, 31 + srli s3, s9, 13 + slli ra, s1, 16 + xor a4, s1, a5 + xori s8, s5, 235 + auipc a5, 345872 + sll t5, a2, zero + ori s3, s0, -107 + or s7, a2, s3 + slti t6, t2, -1613 + ori s3, s0, -107 + srai a0, s9, 31 + ori s3, s0, -107 + sub s1, t5, s9 + auipc a5, 345872 + sll t5, a2, zero + andi a3, t2, 1872 + slli ra, s1, 16 + add s10, t0, t2 + or s7, a2, s3 + sra s3, s0, a5 + nop + sra s3, s0, a5 + slti t6, t2, -1613 + addi a7, a1, -1289 + lui a6, 588415 + xori s8, s5, 235 + slti t6, t2, -1613 + add s10, t0, t2 + srli s3, s9, 13 + srli s3, s9, 13 + slli ra, s1, 16 + sltiu zero, s2, 1133 + auipc a5, 345872 + srli s3, s9, 13 + nop + lui a6, 588415 + srl a4, s11, gp + add s10, t0, t2 + srli s3, s9, 13 + or s7, a2, s3 + xor a4, s1, a5 + xori s8, s5, 235 + sub s1, t5, s9 + slt t0, s7, t6 + srli s3, s9, 13 + xor a4, s1, a5 + slli ra, s1, 16 + sra s3, s0, a5 + lui a6, 588415 + or s7, a2, s3 + lui a6, 588415 + slti t6, t2, -1613 + slt t0, s7, t6 + and a5, t4, t6 + slti t6, t2, -1613 + xor a4, s1, a5 + li ra, 0x0 #start riscv_int_numeric_corner_stream_13 + li gp, 0xffffffff + li a7, 0x0 + li s6, 0xffffffff + li s7, 0x0 + li sp, 0x0 + li s9, 0x80000000 + li s3, 0x80000000 + li s2, 0xffffffff + li s1, 0xffffffff + addi s6, s3, -1289 + auipc s1, 345872 + add s3, s3, gp + add s3, s3, gp + addi s6, s3, -1289 + sub s9, s7, s1 + auipc s1, 345872 + nop + auipc s1, 345872 + nop + addi s6, s3, -1289 + add s3, s3, gp + add s3, s3, gp + nop + addi s6, s3, -1289 + auipc s1, 345872 + sub s9, s7, s1 + addi s6, s3, -1289 + lui a7, 588415 + addi s6, s3, -1289 + lui a7, 588415 + add s3, s3, gp + add s3, s3, gp + auipc s1, 345872 + sub s9, s7, s1 + lui a7, 588415 + nop + addi s6, s3, -1289 #end riscv_int_numeric_corner_stream_13 + sra s3, s0, a5 + add s10, t0, t2 + xori s8, s5, 235 + slt t0, s7, t6 + addi a7, a1, -1289 + and a5, t4, t6 + nop + nop + lui a6, 588415 + ori s3, s0, -107 + slti t6, t2, -1613 + or s7, a2, s3 + slt t0, s7, t6 + xor a4, s1, a5 + lui a6, 588415 + sra s3, s0, a5 + nop + addi a7, a1, -1289 + xor a4, s1, a5 + srai a0, s9, 31 + nop + xori s8, s5, 235 + auipc a5, 345872 + srai a0, s9, 31 + sltu s6, s6, s5 + slli ra, s1, 16 + srai a0, s9, 31 + slt t0, s7, t6 + slli ra, s1, 16 + slli ra, s1, 16 + nop + or s7, a2, s3 + xori s8, s5, 235 + xori s8, s5, 235 + sub s1, t5, s9 + add s10, t0, t2 + addi a7, a1, -1289 + sub s1, t5, s9 + xori s8, s5, 235 + andi a3, t2, 1872 + slt t0, s7, t6 + andi a3, t2, 1872 + srl a4, s11, gp + xori s8, s5, 235 + slti t6, t2, -1613 + sra s3, s0, a5 + srl a4, s11, gp + nop + auipc a5, 345872 + add s10, t0, t2 + ori s3, s0, -107 + slti t6, t2, -1613 + xori s8, s5, 235 + add s10, t0, t2 + sll t5, a2, zero + and a5, t4, t6 + xori s8, s5, 235 + ori s3, s0, -107 + slli ra, s1, 16 + nop + sltu s6, s6, s5 + sll t5, a2, zero + slti t6, t2, -1613 + sub s1, t5, s9 + xor a4, s1, a5 + slli ra, s1, 16 + slli ra, s1, 16 + slti t6, t2, -1613 + sll t5, a2, zero + sll t5, a2, zero + srai a0, s9, 31 + auipc a5, 345872 + srli s3, s9, 13 + add s10, t0, t2 + xor a4, s1, a5 + sll t5, a2, zero + srl a4, s11, gp + sll t5, a2, zero + slti t6, t2, -1613 + and a5, t4, t6 + nop + nop + addi a7, a1, -1289 + srli s3, s9, 13 + sub s1, t5, s9 + sltiu zero, s2, 1133 + add s10, t0, t2 + sltu s6, s6, s5 + sub s1, t5, s9 + lui a6, 588415 + sltu s6, s6, s5 + sll t5, a2, zero + srai a0, s9, 31 + addi a7, a1, -1289 + nop + sltu s6, s6, s5 + srai a0, s9, 31 + lui a6, 588415 + addi a7, a1, -1289 + ori s3, s0, -107 + srl a4, s11, gp + slti t6, t2, -1613 + sltu s6, s6, s5 + or s7, a2, s3 + xor a4, s1, a5 + srai a0, s9, 31 + lui a6, 588415 + andi a3, t2, 1872 + sltu s6, s6, s5 + sltu s6, s6, s5 + xor a4, s1, a5 + slli ra, s1, 16 + nop + slti t6, t2, -1613 + and a5, t4, t6 + slli ra, s1, 16 + nop + andi a3, t2, 1872 + and a5, t4, t6 + srli s3, s9, 13 + srli s3, s9, 13 + addi a7, a1, -1289 + addi a7, a1, -1289 + srai a0, s9, 31 + andi a3, t2, 1872 + slti t6, t2, -1613 + xor a4, s1, a5 + srai a0, s9, 31 + sll t5, a2, zero + nop + xor a4, s1, a5 + sra s3, s0, a5 + addi a7, a1, -1289 + nop + srli s3, s9, 13 + or s7, a2, s3 + or s7, a2, s3 + slt t0, s7, t6 + slti t6, t2, -1613 + sub s1, t5, s9 + sll t5, a2, zero + sub s1, t5, s9 + and a5, t4, t6 + sra s3, s0, a5 + add s10, t0, t2 + sub s1, t5, s9 + slt t0, s7, t6 + ori s3, s0, -107 + srai a0, s9, 31 + andi a3, t2, 1872 + srli s3, s9, 13 + lui a6, 588415 + or s7, a2, s3 + sub s1, t5, s9 + sra s3, s0, a5 + nop + lui a6, 588415 + sltiu zero, s2, 1133 + sub s1, t5, s9 + slli ra, s1, 16 + sltu s6, s6, s5 + addi a7, a1, -1289 + slti t6, t2, -1613 + srli s3, s9, 13 + slt t0, s7, t6 + or s7, a2, s3 + andi a3, t2, 1872 + lui a6, 588415 + add s10, t0, t2 + srai a0, s9, 31 + or s7, a2, s3 + andi a3, t2, 1872 + lui a6, 588415 + xori s8, s5, 235 + sll t5, a2, zero + nop + sra s3, s0, a5 + nop + addi a7, a1, -1289 + ori s3, s0, -107 + nop + slli ra, s1, 16 + slli ra, s1, 16 + slti t6, t2, -1613 + slli ra, s1, 16 + sltiu zero, s2, 1133 + srl a4, s11, gp + sltiu zero, s2, 1133 + sub s1, t5, s9 + srl a4, s11, gp + auipc a5, 345872 + xori s8, s5, 235 + sltiu zero, s2, 1133 + ori s3, s0, -107 + xori s8, s5, 235 + nop + slt t0, s7, t6 + xor a4, s1, a5 + sll t5, a2, zero + srai a0, s9, 31 + slti t6, t2, -1613 + srli s3, s9, 13 + sub s1, t5, s9 + srli s3, s9, 13 + sltu s6, s6, s5 + slti t6, t2, -1613 + lui a6, 588415 + ori s3, s0, -107 + sll t5, a2, zero + ori s3, s0, -107 + ori s3, s0, -107 + srl a4, s11, gp + and a5, t4, t6 + xori s8, s5, 235 + slt t0, s7, t6 + nop + addi a7, a1, -1289 + xor a4, s1, a5 + andi a3, t2, 1872 + xor a4, s1, a5 + add s10, t0, t2 + sra s3, s0, a5 + slli ra, s1, 16 + add s10, t0, t2 + slti t6, t2, -1613 + lui a6, 588415 + sltu s6, s6, s5 + nop + srli s3, s9, 13 + lui a6, 588415 + sltu s6, s6, s5 + slt t0, s7, t6 + slli ra, s1, 16 + andi a3, t2, 1872 + xori s8, s5, 235 + auipc a5, 345872 + xori s8, s5, 235 + or s7, a2, s3 + add s10, t0, t2 + andi a3, t2, 1872 + srl a4, s11, gp + sll t5, a2, zero + sll t5, a2, zero + auipc a5, 345872 + srai a0, s9, 31 + andi a3, t2, 1872 + andi a3, t2, 1872 + srl a4, s11, gp + sltu s6, s6, s5 + slt t0, s7, t6 + sub s1, t5, s9 + srai a0, s9, 31 + sub s1, t5, s9 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + and a5, t4, t6 + xori s8, s5, 235 + xori s8, s5, 235 + or s7, a2, s3 + ori s3, s0, -107 + xor a4, s1, a5 + add s10, t0, t2 + addi a7, a1, -1289 + ori s3, s0, -107 + ori s3, s0, -107 + sltiu zero, s2, 1133 + sll t5, a2, zero + slt t0, s7, t6 + srai a0, s9, 31 + and a5, t4, t6 + slt t0, s7, t6 + addi a7, a1, -1289 + sltu s6, s6, s5 + auipc a5, 345872 + lui a6, 588415 + sll t5, a2, zero + auipc a5, 345872 + sub s1, t5, s9 + auipc a5, 345872 + add s10, t0, t2 + srai a0, s9, 31 + xor a4, s1, a5 + lui a6, 588415 + sltiu zero, s2, 1133 + sra s3, s0, a5 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + ori s3, s0, -107 + sll t5, a2, zero + auipc a5, 345872 + addi a7, a1, -1289 + sra s3, s0, a5 + slt t0, s7, t6 + add s10, t0, t2 + xor a4, s1, a5 + sub s1, t5, s9 + sltiu zero, s2, 1133 + slt t0, s7, t6 + sll t5, a2, zero + xori s8, s5, 235 + sub s1, t5, s9 + ori s3, s0, -107 + and a5, t4, t6 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + xor a4, s1, a5 + nop + slli ra, s1, 16 + auipc a5, 345872 + sra s3, s0, a5 + srai a0, s9, 31 + addi a7, a1, -1289 + srl a4, s11, gp + add s10, t0, t2 + or s7, a2, s3 + slt t0, s7, t6 + and a5, t4, t6 + auipc a5, 345872 + sltu s6, s6, s5 + slt t0, s7, t6 + and a5, t4, t6 + srai a0, s9, 31 + auipc a5, 345872 + sll t5, a2, zero + sll t5, a2, zero + lui a6, 588415 + ori s3, s0, -107 + srai a0, s9, 31 + slti t6, t2, -1613 + srai a0, s9, 31 + srli s3, s9, 13 + andi a3, t2, 1872 + sll t5, a2, zero + ori s3, s0, -107 + srli s3, s9, 13 + sra s3, s0, a5 + lui a6, 588415 + slti t6, t2, -1613 + sra s3, s0, a5 + auipc a5, 345872 + auipc a5, 345872 + srai a0, s9, 31 + sub s1, t5, s9 + andi a3, t2, 1872 + ori s3, s0, -107 + slli ra, s1, 16 + sra s3, s0, a5 + slti t6, t2, -1613 + sll t5, a2, zero + auipc a5, 345872 + sub s1, t5, s9 + srai a0, s9, 31 + sltu s6, s6, s5 + andi a3, t2, 1872 + srl a4, s11, gp + andi a3, t2, 1872 + and a5, t4, t6 + sltu s6, s6, s5 + add s10, t0, t2 + addi a7, a1, -1289 + xor a4, s1, a5 + sub s1, t5, s9 + or s7, a2, s3 + srli s3, s9, 13 + nop + xor a4, s1, a5 + and a5, t4, t6 + or s7, a2, s3 + sll t5, a2, zero + ori s3, s0, -107 + srl a4, s11, gp + xori s8, s5, 235 + slli ra, s1, 16 + auipc a5, 345872 + or s7, a2, s3 + lui a6, 588415 + and a5, t4, t6 + srl a4, s11, gp + slt t0, s7, t6 + slli ra, s1, 16 + xor a4, s1, a5 + add s10, t0, t2 + nop + srai a0, s9, 31 + slt t0, s7, t6 + lui a6, 588415 + slti t6, t2, -1613 + slli ra, s1, 16 + sra s3, s0, a5 + nop + sll t5, a2, zero + or s7, a2, s3 + and a5, t4, t6 + sra s3, s0, a5 + sra s3, s0, a5 + slti t6, t2, -1613 + and a5, t4, t6 + slti t6, t2, -1613 + nop + sltu s6, s6, s5 + xori s8, s5, 235 + sub s1, t5, s9 + srli s3, s9, 13 + sub s1, t5, s9 + and a5, t4, t6 + srai a0, s9, 31 + andi a3, t2, 1872 + andi a3, t2, 1872 + slli ra, s1, 16 + andi a3, t2, 1872 + slli ra, s1, 16 + sub s1, t5, s9 + sltu s6, s6, s5 + slti t6, t2, -1613 + or s7, a2, s3 + andi a3, t2, 1872 + and a5, t4, t6 + xor a4, s1, a5 + sub s1, t5, s9 + srli s3, s9, 13 + auipc a5, 345872 + lui a6, 588415 + xor a4, s1, a5 + auipc a5, 345872 + auipc a5, 345872 + addi a7, a1, -1289 + sra s3, s0, a5 + srli s3, s9, 13 + slli ra, s1, 16 + ori s3, s0, -107 + sub s1, t5, s9 + xor a4, s1, a5 + sra s3, s0, a5 + slti t6, t2, -1613 + slti t6, t2, -1613 + or s7, a2, s3 + add s10, t0, t2 + srl a4, s11, gp + or s7, a2, s3 + sub s1, t5, s9 + addi a7, a1, -1289 + andi a3, t2, 1872 + and a5, t4, t6 + auipc a5, 345872 + sltu s6, s6, s5 + srai a0, s9, 31 + slli ra, s1, 16 + srli s3, s9, 13 + sltiu zero, s2, 1133 + add s10, t0, t2 + srai a0, s9, 31 + add s10, t0, t2 + sra s3, s0, a5 + sltiu zero, s2, 1133 + ori s3, s0, -107 + sltiu zero, s2, 1133 + slt t0, s7, t6 + xor a4, s1, a5 + addi a7, a1, -1289 + auipc a5, 345872 + slti t6, t2, -1613 + srai a0, s9, 31 + and a5, t4, t6 + andi a3, t2, 1872 + slt t0, s7, t6 + sub s1, t5, s9 + sltu s6, s6, s5 + nop + sra s3, s0, a5 + srai a0, s9, 31 + sltu s6, s6, s5 + lui a6, 588415 + xori s8, s5, 235 + and a5, t4, t6 + xori s8, s5, 235 + sltiu zero, s2, 1133 + srai a0, s9, 31 + lui a6, 588415 + xor a4, s1, a5 + auipc a5, 345872 + ori s3, s0, -107 + srli s3, s9, 13 + and a5, t4, t6 + ori s3, s0, -107 + srli s3, s9, 13 + srli s3, s9, 13 + nop + xori s8, s5, 235 + sll t5, a2, zero + slti t6, t2, -1613 + xor a4, s1, a5 + xor a4, s1, a5 + sltu s6, s6, s5 + sub s1, t5, s9 + srai a0, s9, 31 + xor a4, s1, a5 + xor a4, s1, a5 + srli s3, s9, 13 + sltu s6, s6, s5 + slli ra, s1, 16 + andi a3, t2, 1872 + andi a3, t2, 1872 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sub s1, t5, s9 + slti t6, t2, -1613 + sub s1, t5, s9 + slli ra, s1, 16 + nop + srli s3, s9, 13 + auipc a5, 345872 + sltiu zero, s2, 1133 + add s10, t0, t2 + srl a4, s11, gp + lui a6, 588415 + srl a4, s11, gp + slti t6, t2, -1613 + addi a7, a1, -1289 + sll t5, a2, zero + slti t6, t2, -1613 + nop + sltiu zero, s2, 1133 + auipc a5, 345872 + srai a0, s9, 31 + addi a7, a1, -1289 + slt t0, s7, t6 + ori s3, s0, -107 + sub s1, t5, s9 + xori s8, s5, 235 + sub s1, t5, s9 + srli s3, s9, 13 + andi a3, t2, 1872 + sub s1, t5, s9 + slti t6, t2, -1613 + xori s8, s5, 235 + sll t5, a2, zero + sra s3, s0, a5 + auipc a5, 345872 + andi a3, t2, 1872 + srl a4, s11, gp + xori s8, s5, 235 + nop + xori s8, s5, 235 + add s10, t0, t2 + andi a3, t2, 1872 + or s7, a2, s3 + sub s1, t5, s9 + slti t6, t2, -1613 + auipc a5, 345872 + sltu s6, s6, s5 + add s10, t0, t2 + addi a7, a1, -1289 + and a5, t4, t6 + sra s3, s0, a5 + ori s3, s0, -107 + addi a7, a1, -1289 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + ori s3, s0, -107 + andi a3, t2, 1872 + slti t6, t2, -1613 + andi a3, t2, 1872 + sub s1, t5, s9 + sub s1, t5, s9 + add s10, t0, t2 + add s10, t0, t2 + srl a4, s11, gp + srli s3, s9, 13 + sltiu zero, s2, 1133 + ori s3, s0, -107 + xor a4, s1, a5 + andi a3, t2, 1872 + addi a7, a1, -1289 + xori s8, s5, 235 + nop + ori s3, s0, -107 + slli ra, s1, 16 + andi a3, t2, 1872 + add s10, t0, t2 + srl a4, s11, gp + xori s8, s5, 235 + srl a4, s11, gp + xor a4, s1, a5 + srl a4, s11, gp + addi a7, a1, -1289 + sltu s6, s6, s5 + srai a0, s9, 31 + srl a4, s11, gp + ori s3, s0, -107 + auipc a5, 345872 + sltiu zero, s2, 1133 + sll t5, a2, zero + lui a6, 588415 + slt t0, s7, t6 + sltiu zero, s2, 1133 + srl a4, s11, gp + sra s3, s0, a5 + lui a6, 588415 + slti t6, t2, -1613 + slt t0, s7, t6 + ori s3, s0, -107 + andi a3, t2, 1872 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + nop + slt t0, s7, t6 + xori s8, s5, 235 + slt t0, s7, t6 + slli ra, s1, 16 + andi a3, t2, 1872 + addi a7, a1, -1289 + sra s3, s0, a5 + slli ra, s1, 16 + srai a0, s9, 31 + srl a4, s11, gp + and a5, t4, t6 + nop + sll t5, a2, zero + srl a4, s11, gp + lui a6, 588415 + and a5, t4, t6 + sub s1, t5, s9 + slti t6, t2, -1613 + ori s3, s0, -107 + addi a7, a1, -1289 + auipc a5, 345872 + slti t6, t2, -1613 + slli ra, s1, 16 + slli ra, s1, 16 + srl a4, s11, gp + sltu s6, s6, s5 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + srai a0, s9, 31 + ori s3, s0, -107 + sltu s6, s6, s5 + xori s8, s5, 235 + slli ra, s1, 16 + slli ra, s1, 16 + srl a4, s11, gp + ori s3, s0, -107 + addi a7, a1, -1289 + nop + srli s3, s9, 13 + sltu s6, s6, s5 + lui a6, 588415 + slti t6, t2, -1613 + nop + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sra s3, s0, a5 + add s10, t0, t2 + auipc a5, 345872 + lui a6, 588415 + sub s1, t5, s9 + slli ra, s1, 16 + sltu s6, s6, s5 + sub s1, t5, s9 + addi a7, a1, -1289 + or s7, a2, s3 + slt t0, s7, t6 + auipc a5, 345872 + ori s3, s0, -107 + sub s1, t5, s9 + slt t0, s7, t6 + srl a4, s11, gp + sltu s6, s6, s5 + add s10, t0, t2 + srl a4, s11, gp + addi a7, a1, -1289 + and a5, t4, t6 + ori s3, s0, -107 + slt t0, s7, t6 + slt t0, s7, t6 + srl a4, s11, gp + slt t0, s7, t6 + slli ra, s1, 16 + andi a3, t2, 1872 + srai a0, s9, 31 + srli s3, s9, 13 + slli ra, s1, 16 + slti t6, t2, -1613 + ori s3, s0, -107 + auipc a5, 345872 + and a5, t4, t6 + srai a0, s9, 31 + xor a4, s1, a5 + sll t5, a2, zero + nop + auipc a5, 345872 + srai a0, s9, 31 + sltiu zero, s2, 1133 + nop + slt t0, s7, t6 + sltu s6, s6, s5 + srl a4, s11, gp + sub s1, t5, s9 + slli ra, s1, 16 + slt t0, s7, t6 + sll t5, a2, zero + nop + xori s8, s5, 235 + slti t6, t2, -1613 + xori s8, s5, 235 + andi a3, t2, 1872 + srl a4, s11, gp + sltiu zero, s2, 1133 + xor a4, s1, a5 + slli ra, s1, 16 + addi a7, a1, -1289 + sra s3, s0, a5 + sra s3, s0, a5 + slli ra, s1, 16 + sltu s6, s6, s5 + sra s3, s0, a5 + sltiu zero, s2, 1133 + xor a4, s1, a5 + xor a4, s1, a5 + auipc a5, 345872 + sll t5, a2, zero + nop + addi a7, a1, -1289 + sltiu zero, s2, 1133 + slt t0, s7, t6 + lui a6, 588415 + xori s8, s5, 235 + ori s3, s0, -107 + sub s1, t5, s9 + ori s3, s0, -107 + or s7, a2, s3 + xori s8, s5, 235 + sub s1, t5, s9 + auipc a5, 345872 + add s10, t0, t2 + sltu s6, s6, s5 + srli s3, s9, 13 + srli s3, s9, 13 + sltu s6, s6, s5 + auipc a5, 345872 + add s10, t0, t2 + sub s1, t5, s9 + and a5, t4, t6 + auipc a5, 345872 + slti t6, t2, -1613 + ori s3, s0, -107 + slli ra, s1, 16 + xori s8, s5, 235 + andi a3, t2, 1872 + slti t6, t2, -1613 + xor a4, s1, a5 + or s7, a2, s3 + slli ra, s1, 16 + andi a3, t2, 1872 + nop + addi a7, a1, -1289 + slt t0, s7, t6 + sub s1, t5, s9 + lui a6, 588415 + srai a0, s9, 31 + slti t6, t2, -1613 + ori s3, s0, -107 + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + ori s3, s0, -107 + lui a6, 588415 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + srli s3, s9, 13 + addi a7, a1, -1289 + andi a3, t2, 1872 + slli ra, s1, 16 + nop + slt t0, s7, t6 + slt t0, s7, t6 + ori s3, s0, -107 + ori s3, s0, -107 + sltu s6, s6, s5 + srl a4, s11, gp + slt t0, s7, t6 + sltu s6, s6, s5 + nop + add s10, t0, t2 + xor a4, s1, a5 + sltiu zero, s2, 1133 + srai a0, s9, 31 + nop + srl a4, s11, gp + ori s3, s0, -107 + slli ra, s1, 16 + ori s3, s0, -107 + andi a3, t2, 1872 + srl a4, s11, gp + slt t0, s7, t6 + xori s8, s5, 235 + or s7, a2, s3 + sltu s6, s6, s5 + sra s3, s0, a5 + slli ra, s1, 16 + xor a4, s1, a5 + slti t6, t2, -1613 + sub s1, t5, s9 + xor a4, s1, a5 + slt t0, s7, t6 + or s7, a2, s3 + and a5, t4, t6 + srai a0, s9, 31 + srli s3, s9, 13 + addi a7, a1, -1289 + sltu s6, s6, s5 + and a5, t4, t6 + lui a6, 588415 + sra s3, s0, a5 + slti t6, t2, -1613 + sub s1, t5, s9 + add s10, t0, t2 + srai a0, s9, 31 + and a5, t4, t6 + auipc a5, 345872 + srl a4, s11, gp + xori s8, s5, 235 + sll t5, a2, zero + sltiu zero, s2, 1133 + slt t0, s7, t6 + or s7, a2, s3 + nop + and a5, t4, t6 + slli ra, s1, 16 + slli ra, s1, 16 + lui a6, 588415 + sra s3, s0, a5 + sub s1, t5, s9 + srl a4, s11, gp + and a5, t4, t6 + or s7, a2, s3 + srai a0, s9, 31 + lui a6, 588415 + slli ra, s1, 16 + addi a7, a1, -1289 + and a5, t4, t6 + xor a4, s1, a5 + nop + auipc a5, 345872 + sltu s6, s6, s5 + add s10, t0, t2 + sra s3, s0, a5 + add s10, t0, t2 + sra s3, s0, a5 + slli ra, s1, 16 + srai a0, s9, 31 + auipc a5, 345872 + srli s3, s9, 13 + ori s3, s0, -107 + sra s3, s0, a5 + srli s3, s9, 13 + srl a4, s11, gp + lui a6, 588415 + sub s1, t5, s9 + slt t0, s7, t6 + slt t0, s7, t6 + nop + xori s8, s5, 235 + lui a6, 588415 + ori s3, s0, -107 + andi a3, t2, 1872 + slli ra, s1, 16 + lui a6, 588415 + sll t5, a2, zero + addi a7, a1, -1289 + xor a4, s1, a5 + sltu s6, s6, s5 + addi a7, a1, -1289 + sra s3, s0, a5 + andi a3, t2, 1872 + or s7, a2, s3 + ori s3, s0, -107 + addi a7, a1, -1289 + ori s3, s0, -107 + slti t6, t2, -1613 + srl a4, s11, gp + auipc a5, 345872 + sll t5, a2, zero + andi a3, t2, 1872 + andi a3, t2, 1872 + or s7, a2, s3 + xori s8, s5, 235 + auipc a5, 345872 + srli s3, s9, 13 + slt t0, s7, t6 + or s7, a2, s3 + sltu s6, s6, s5 + andi a3, t2, 1872 + ori s3, s0, -107 + slti t6, t2, -1613 + slli ra, s1, 16 + srai a0, s9, 31 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + srai a0, s9, 31 + srl a4, s11, gp + sub s1, t5, s9 + and a5, t4, t6 + slt t0, s7, t6 + srai a0, s9, 31 + srl a4, s11, gp + slli ra, s1, 16 + sub s1, t5, s9 + or s7, a2, s3 + slti t6, t2, -1613 + andi a3, t2, 1872 + xori s8, s5, 235 + srai a0, s9, 31 + sll t5, a2, zero + slti t6, t2, -1613 + sll t5, a2, zero + nop + slti t6, t2, -1613 + addi a7, a1, -1289 + slt t0, s7, t6 + addi a7, a1, -1289 + lui a6, 588415 + or s7, a2, s3 + sltu s6, s6, s5 + lui a6, 588415 + ori s3, s0, -107 + xori s8, s5, 235 + slt t0, s7, t6 + xori s8, s5, 235 + srai a0, s9, 31 + auipc a5, 345872 + andi a3, t2, 1872 + slti t6, t2, -1613 + slli ra, s1, 16 + ori s3, s0, -107 + auipc a5, 345872 + sltu s6, s6, s5 + sltu s6, s6, s5 + slli ra, s1, 16 + xori s8, s5, 235 + sub s1, t5, s9 + sltu s6, s6, s5 + auipc a5, 345872 + slti t6, t2, -1613 + srli s3, s9, 13 + slt t0, s7, t6 + sub s1, t5, s9 + auipc a5, 345872 + sltiu zero, s2, 1133 + slt t0, s7, t6 + or s7, a2, s3 + and a5, t4, t6 + xori s8, s5, 235 + sra s3, s0, a5 + srai a0, s9, 31 + addi a7, a1, -1289 + xor a4, s1, a5 + auipc a5, 345872 + srli s3, s9, 13 + lui a6, 588415 + srli s3, s9, 13 + nop + addi a7, a1, -1289 + xor a4, s1, a5 + addi a7, a1, -1289 + slti t6, t2, -1613 + srai a0, s9, 31 + nop + auipc a5, 345872 + xor a4, s1, a5 + xor a4, s1, a5 + ori s3, s0, -107 + sltiu zero, s2, 1133 + xori s8, s5, 235 + and a5, t4, t6 + and a5, t4, t6 + slt t0, s7, t6 + srli s3, s9, 13 + lui a6, 588415 + srai a0, s9, 31 + sra s3, s0, a5 + sll t5, a2, zero + andi a3, t2, 1872 + slli ra, s1, 16 + srl a4, s11, gp + and a5, t4, t6 + xor a4, s1, a5 + or s7, a2, s3 + add s10, t0, t2 + slli ra, s1, 16 + sra s3, s0, a5 + sub s1, t5, s9 + sltu s6, s6, s5 + auipc a5, 345872 + xor a4, s1, a5 + andi a3, t2, 1872 + andi a3, t2, 1872 + sltu s6, s6, s5 + slt t0, s7, t6 + srai a0, s9, 31 + xori s8, s5, 235 + slti t6, t2, -1613 + auipc a5, 345872 + slt t0, s7, t6 + andi a3, t2, 1872 + andi a3, t2, 1872 + xori s8, s5, 235 + srli s3, s9, 13 + lui a6, 588415 + and a5, t4, t6 + sll t5, a2, zero + slti t6, t2, -1613 + sra s3, s0, a5 + sltu s6, s6, s5 + and a5, t4, t6 + lui a6, 588415 + addi a7, a1, -1289 + auipc a5, 345872 + sra s3, s0, a5 + lui a6, 588415 + xor a4, s1, a5 + xor a4, s1, a5 + or s7, a2, s3 + ori s3, s0, -107 + srai a0, s9, 31 + sltiu zero, s2, 1133 + sub s1, t5, s9 + ori s3, s0, -107 + lui a6, 588415 + slt t0, s7, t6 + addi a7, a1, -1289 + ori s3, s0, -107 + or s7, a2, s3 + auipc a5, 345872 + srai a0, s9, 31 + xori s8, s5, 235 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + sltu s6, s6, s5 + srai a0, s9, 31 + sll t5, a2, zero + or s7, a2, s3 + srli s3, s9, 13 + xori s8, s5, 235 + slt t0, s7, t6 + nop + addi a7, a1, -1289 + and a5, t4, t6 + nop + nop + sltiu zero, s2, 1133 + add s10, t0, t2 + sltu s6, s6, s5 + srli s3, s9, 13 + and a5, t4, t6 + xor a4, s1, a5 + or s7, a2, s3 + slli ra, s1, 16 + sltiu zero, s2, 1133 + slli ra, s1, 16 + andi a3, t2, 1872 + or s7, a2, s3 + srli s3, s9, 13 + and a5, t4, t6 + ori s3, s0, -107 + srli s3, s9, 13 + addi a7, a1, -1289 + xor a4, s1, a5 + andi a3, t2, 1872 + srl a4, s11, gp + and a5, t4, t6 + lui a6, 588415 + xor a4, s1, a5 + andi a3, t2, 1872 + srai a0, s9, 31 + sub s1, t5, s9 + xor a4, s1, a5 + sub s1, t5, s9 + and a5, t4, t6 + srli s3, s9, 13 + or s7, a2, s3 + auipc a5, 345872 + sra s3, s0, a5 + andi a3, t2, 1872 + ori s3, s0, -107 + sra s3, s0, a5 + or s7, a2, s3 + addi a7, a1, -1289 + ori s3, s0, -107 + addi a7, a1, -1289 + ori s3, s0, -107 + slt t0, s7, t6 + xori s8, s5, 235 + srl a4, s11, gp + nop + srai a0, s9, 31 + add s10, t0, t2 + sra s3, s0, a5 + xor a4, s1, a5 + xor a4, s1, a5 + slt t0, s7, t6 + addi a7, a1, -1289 + xori s8, s5, 235 + ori s3, s0, -107 + nop + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + srai a0, s9, 31 + andi a3, t2, 1872 + srai a0, s9, 31 + slli ra, s1, 16 + nop + xor a4, s1, a5 + auipc a5, 345872 + slt t0, s7, t6 + add s10, t0, t2 + srai a0, s9, 31 + andi a3, t2, 1872 + lui a6, 588415 + srl a4, s11, gp + nop + slti t6, t2, -1613 + xor a4, s1, a5 + srli s3, s9, 13 + and a5, t4, t6 + srli s3, s9, 13 + add s10, t0, t2 + and a5, t4, t6 + slti t6, t2, -1613 + slti t6, t2, -1613 + slli ra, s1, 16 + sltiu zero, s2, 1133 + xor a4, s1, a5 + xori s8, s5, 235 + lui a6, 588415 + xori s8, s5, 235 + andi a3, t2, 1872 + slti t6, t2, -1613 + sra s3, s0, a5 + xor a4, s1, a5 + sra s3, s0, a5 + slti t6, t2, -1613 + xori s8, s5, 235 + lui a6, 588415 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + sub s1, t5, s9 + sra s3, s0, a5 + sltu s6, s6, s5 + xor a4, s1, a5 + sra s3, s0, a5 + andi a3, t2, 1872 + sub s1, t5, s9 + addi a7, a1, -1289 + sll t5, a2, zero + addi a7, a1, -1289 + slt t0, s7, t6 + addi a7, a1, -1289 + or s7, a2, s3 + xor a4, s1, a5 + sra s3, s0, a5 + and a5, t4, t6 + lui a6, 588415 + sltiu zero, s2, 1133 + xori s8, s5, 235 + sra s3, s0, a5 + slli ra, s1, 16 + or s7, a2, s3 + srl a4, s11, gp + srai a0, s9, 31 + xori s8, s5, 235 + sll t5, a2, zero + xori s8, s5, 235 + xor a4, s1, a5 + sub s1, t5, s9 + and a5, t4, t6 + sltu s6, s6, s5 + addi a7, a1, -1289 + sub s1, t5, s9 + addi a7, a1, -1289 + sll t5, a2, zero + sltu s6, s6, s5 + nop + srai a0, s9, 31 + srl a4, s11, gp + xori s8, s5, 235 + slt t0, s7, t6 + sub s1, t5, s9 + slt t0, s7, t6 + slli ra, s1, 16 + sll t5, a2, zero + sll t5, a2, zero + or s7, a2, s3 + add s10, t0, t2 + lui a6, 588415 + ori s3, s0, -107 + sra s3, s0, a5 + srai a0, s9, 31 + sll t5, a2, zero + and a5, t4, t6 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + lui a6, 588415 + srai a0, s9, 31 + addi a7, a1, -1289 + lui a6, 588415 + sltiu zero, s2, 1133 + srli s3, s9, 13 + lui a6, 588415 + andi a3, t2, 1872 + srli s3, s9, 13 + srl a4, s11, gp + slti t6, t2, -1613 + xor a4, s1, a5 + addi a7, a1, -1289 + nop + ori s3, s0, -107 + auipc a5, 345872 + sub s1, t5, s9 + slt t0, s7, t6 + and a5, t4, t6 + xori s8, s5, 235 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + slt t0, s7, t6 + slti t6, t2, -1613 + sll t5, a2, zero + xori s8, s5, 235 + auipc a5, 345872 + nop + or s7, a2, s3 + sltu s6, s6, s5 + andi a3, t2, 1872 + andi a3, t2, 1872 + xori s8, s5, 235 + sub s1, t5, s9 + sll t5, a2, zero + nop + slt t0, s7, t6 + sra s3, s0, a5 + li t0, 0xffffffff #start riscv_int_numeric_corner_stream_27 + li s1, 0x0 + li a2, 0xcaed32b6 + li t3, 0x0 + li s10, 0x1336962c + li t2, 0xa213c40 + li a0, 0xffffffff + li a6, 0xffffffff + li a4, 0x80000000 + li s3, 0xbec7c645 + add s10, s3, s3 + addi a0, s3, -1289 + add s10, s3, s3 + add s10, s3, s3 + nop + nop + add s10, s3, s3 + auipc s1, 345872 + lui a6, 588415 + nop + auipc s1, 345872 + addi a0, s3, -1289 + sub s1, t3, s1 + lui a6, 588415 + nop + add s10, s3, s3 + auipc s1, 345872 + sub s1, t3, s1 + nop + sub s1, t3, s1 + sub s1, t3, s1 + sub s1, t3, s1 + add s10, s3, s3 + sub s1, t3, s1 + nop + addi a0, s3, -1289 + nop + sub s1, t3, s1 + auipc s1, 345872 #end riscv_int_numeric_corner_stream_27 + slti t6, t2, -1613 + addi a7, a1, -1289 + and a5, t4, t6 + sra s3, s0, a5 + sltiu zero, s2, 1133 + or s7, a2, s3 + srli s3, s9, 13 + sltu s6, s6, s5 + sra s3, s0, a5 + sll t5, a2, zero + nop + sltiu zero, s2, 1133 + or s7, a2, s3 + sll t5, a2, zero + add s10, t0, t2 + sltu s6, s6, s5 + slt t0, s7, t6 + lui a6, 588415 + or s7, a2, s3 + or s7, a2, s3 + sll t5, a2, zero + auipc a5, 345872 + and a5, t4, t6 + srli s3, s9, 13 + srli s3, s9, 13 + and a5, t4, t6 + xor a4, s1, a5 + ori s3, s0, -107 + slli ra, s1, 16 + slt t0, s7, t6 + add s10, t0, t2 + slti t6, t2, -1613 + ori s3, s0, -107 + sra s3, s0, a5 + sltu s6, s6, s5 + ori s3, s0, -107 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + srl a4, s11, gp + nop + and a5, t4, t6 + xori s8, s5, 235 + addi a7, a1, -1289 + add s10, t0, t2 + slli ra, s1, 16 + sltiu zero, s2, 1133 + or s7, a2, s3 + nop + lui a6, 588415 + sltu s6, s6, s5 + slli ra, s1, 16 + xor a4, s1, a5 + slti t6, t2, -1613 + srl a4, s11, gp + sub s1, t5, s9 + xor a4, s1, a5 + slli ra, s1, 16 + srai a0, s9, 31 + nop + sub s1, t5, s9 + add s10, t0, t2 + xor a4, s1, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + sra s3, s0, a5 + slti t6, t2, -1613 + srli s3, s9, 13 + sra s3, s0, a5 + xor a4, s1, a5 + slti t6, t2, -1613 + sra s3, s0, a5 + auipc a5, 345872 + slt t0, s7, t6 + slti t6, t2, -1613 + ori s3, s0, -107 + add s10, t0, t2 + slt t0, s7, t6 + addi a7, a1, -1289 + auipc a5, 345872 + srli s3, s9, 13 + slti t6, t2, -1613 + sll t5, a2, zero + lui a6, 588415 + and a5, t4, t6 + ori s3, s0, -107 + srli s3, s9, 13 + sub s1, t5, s9 + slt t0, s7, t6 + sltiu zero, s2, 1133 + sub s1, t5, s9 + srai a0, s9, 31 + slti t6, t2, -1613 + xori s8, s5, 235 + add s10, t0, t2 + slli ra, s1, 16 + add s10, t0, t2 + lui a6, 588415 + slti t6, t2, -1613 + srai a0, s9, 31 + lui a6, 588415 + addi a7, a1, -1289 + add s10, t0, t2 + auipc a5, 345872 + slli ra, s1, 16 + slti t6, t2, -1613 + srai a0, s9, 31 + sll t5, a2, zero + sltu s6, s6, s5 + ori s3, s0, -107 + andi a3, t2, 1872 + slti t6, t2, -1613 + sub s1, t5, s9 + or s7, a2, s3 + xori s8, s5, 235 + srai a0, s9, 31 + srl a4, s11, gp + andi a3, t2, 1872 + sltiu zero, s2, 1133 + or s7, a2, s3 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + slli ra, s1, 16 + srl a4, s11, gp + srai a0, s9, 31 + srli s3, s9, 13 + sra s3, s0, a5 + auipc a5, 345872 + slti t6, t2, -1613 + slt t0, s7, t6 + sltu s6, s6, s5 + addi a7, a1, -1289 + lui a6, 588415 + xor a4, s1, a5 + lui a6, 588415 + and a5, t4, t6 + slti t6, t2, -1613 + slli ra, s1, 16 + slli ra, s1, 16 + addi a7, a1, -1289 + xori s8, s5, 235 + ori s3, s0, -107 + andi a3, t2, 1872 + slli ra, s1, 16 + srli s3, s9, 13 + srai a0, s9, 31 + srli s3, s9, 13 + lui a6, 588415 + sub s1, t5, s9 + lui a6, 588415 + sltiu zero, s2, 1133 + sra s3, s0, a5 + srli s3, s9, 13 + sltu s6, s6, s5 + slti t6, t2, -1613 + nop + slli ra, s1, 16 + sub s1, t5, s9 + lui a6, 588415 + sll t5, a2, zero + slti t6, t2, -1613 + addi a7, a1, -1289 + or s7, a2, s3 + srl a4, s11, gp + sub s1, t5, s9 + ori s3, s0, -107 + xori s8, s5, 235 + xori s8, s5, 235 + sub s1, t5, s9 + sltu s6, s6, s5 + nop + srai a0, s9, 31 + srai a0, s9, 31 + sltiu zero, s2, 1133 + nop + nop + slti t6, t2, -1613 + slli ra, s1, 16 + slli ra, s1, 16 + srai a0, s9, 31 + slli ra, s1, 16 + sll t5, a2, zero + andi a3, t2, 1872 + srai a0, s9, 31 + xori s8, s5, 235 + auipc a5, 345872 + andi a3, t2, 1872 + nop + slt t0, s7, t6 + sltu s6, s6, s5 + sra s3, s0, a5 + sltiu zero, s2, 1133 + slli ra, s1, 16 + slli ra, s1, 16 + auipc a5, 345872 + addi a7, a1, -1289 + nop + slli ra, s1, 16 + slt t0, s7, t6 + sltu s6, s6, s5 + nop + srli s3, s9, 13 + slti t6, t2, -1613 + slti t6, t2, -1613 + sll t5, a2, zero + slt t0, s7, t6 + add s10, t0, t2 + nop + li s8, 0x75ee3d52 #start riscv_int_numeric_corner_stream_12 + li a5, 0xffffffff + li s10, 0xffffffff + li t3, 0x0 + li a2, 0x4a385579 + li s5, 0xffffffff + li s1, 0xffffffff + li t2, 0x80000000 + li s2, 0x80000000 + li t5, 0xfd1dbb87 + lui s5, 588415 + nop + add s10, a5, t2 + auipc a5, 345872 + nop + add s10, a5, t2 + lui s5, 588415 + sub t5, t2, s1 + add s10, a5, t2 + sub t5, t2, s1 + sub t5, t2, s1 + nop + sub t5, t2, s1 + lui s5, 588415 + lui s5, 588415 + add s10, a5, t2 + nop + auipc a5, 345872 + nop #end riscv_int_numeric_corner_stream_12 + add s10, t0, t2 + sltiu zero, s2, 1133 + slt t0, s7, t6 + sltiu zero, s2, 1133 + srai a0, s9, 31 + sll t5, a2, zero + xor a4, s1, a5 + sra s3, s0, a5 + slt t0, s7, t6 + slt t0, s7, t6 + lui a6, 588415 + addi a7, a1, -1289 + andi a3, t2, 1872 + add s10, t0, t2 + srli s3, s9, 13 + xor a4, s1, a5 + addi a7, a1, -1289 + and a5, t4, t6 + sll t5, a2, zero + and a5, t4, t6 + ori s3, s0, -107 + srl a4, s11, gp + slli ra, s1, 16 + sltu s6, s6, s5 + add s10, t0, t2 + srai a0, s9, 31 + srli s3, s9, 13 + and a5, t4, t6 + slt t0, s7, t6 + sra s3, s0, a5 + sltiu zero, s2, 1133 + auipc a5, 345872 + andi a3, t2, 1872 + lui a6, 588415 + srl a4, s11, gp + nop + srai a0, s9, 31 + auipc a5, 345872 + add s10, t0, t2 + srai a0, s9, 31 + sltiu zero, s2, 1133 + add s10, t0, t2 + and a5, t4, t6 + sra s3, s0, a5 + sra s3, s0, a5 + srli s3, s9, 13 + srai a0, s9, 31 + auipc a5, 345872 + ori s3, s0, -107 + slti t6, t2, -1613 + ori s3, s0, -107 + sub s1, t5, s9 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + srli s3, s9, 13 + xor a4, s1, a5 + srli s3, s9, 13 + srli s3, s9, 13 + sltu s6, s6, s5 + nop + sra s3, s0, a5 + lui a6, 588415 + slti t6, t2, -1613 + andi a3, t2, 1872 + lui a6, 588415 + lui a6, 588415 + sra s3, s0, a5 + nop + addi a7, a1, -1289 + srai a0, s9, 31 + sltu s6, s6, s5 + slti t6, t2, -1613 + srli s3, s9, 13 + and a5, t4, t6 + nop + sub s1, t5, s9 + and a5, t4, t6 + sltu s6, s6, s5 + auipc a5, 345872 + xor a4, s1, a5 + xor a4, s1, a5 + auipc a5, 345872 + add s10, t0, t2 + lui a6, 588415 + nop + slli ra, s1, 16 + lui a6, 588415 + sltiu zero, s2, 1133 + sll t5, a2, zero + slti t6, t2, -1613 + and a5, t4, t6 + sltiu zero, s2, 1133 + xor a4, s1, a5 + ori s3, s0, -107 + sll t5, a2, zero + and a5, t4, t6 + andi a3, t2, 1872 + add s10, t0, t2 + srl a4, s11, gp + nop + slti t6, t2, -1613 + or s7, a2, s3 + slti t6, t2, -1613 + add s10, t0, t2 + andi a3, t2, 1872 + xori s8, s5, 235 + and a5, t4, t6 + sltiu zero, s2, 1133 + srai a0, s9, 31 + ori s3, s0, -107 + slli ra, s1, 16 + slli ra, s1, 16 + lui a6, 588415 + srai a0, s9, 31 + ori s3, s0, -107 + lui a6, 588415 + srli s3, s9, 13 + lui a6, 588415 + or s7, a2, s3 + sub s1, t5, s9 + xor a4, s1, a5 + and a5, t4, t6 + and a5, t4, t6 + srli s3, s9, 13 + sll t5, a2, zero + sub s1, t5, s9 + andi a3, t2, 1872 + lui a6, 588415 + nop + and a5, t4, t6 + addi a7, a1, -1289 + srli s3, s9, 13 + srl a4, s11, gp + slti t6, t2, -1613 + sra s3, s0, a5 + ori s3, s0, -107 + sll t5, a2, zero + sra s3, s0, a5 + sub s1, t5, s9 + slti t6, t2, -1613 + sltu s6, s6, s5 + xor a4, s1, a5 + sltu s6, s6, s5 + ori s3, s0, -107 + add s10, t0, t2 + sra s3, s0, a5 + addi a7, a1, -1289 + and a5, t4, t6 + slt t0, s7, t6 + xor a4, s1, a5 + lui a6, 588415 + slti t6, t2, -1613 + auipc a5, 345872 + nop + or s7, a2, s3 + srai a0, s9, 31 + sltiu zero, s2, 1133 + or s7, a2, s3 + ori s3, s0, -107 + sra s3, s0, a5 + srli s3, s9, 13 + andi a3, t2, 1872 + and a5, t4, t6 + slti t6, t2, -1613 + sra s3, s0, a5 + and a5, t4, t6 + slt t0, s7, t6 + nop + lui a6, 588415 + srai a0, s9, 31 + ori s3, s0, -107 + sll t5, a2, zero + ori s3, s0, -107 + slti t6, t2, -1613 + sub s1, t5, s9 + slli ra, s1, 16 + slli ra, s1, 16 + sltiu zero, s2, 1133 + sub s1, t5, s9 + srl a4, s11, gp + sltiu zero, s2, 1133 + slt t0, s7, t6 + or s7, a2, s3 + sll t5, a2, zero + slli ra, s1, 16 + nop + slt t0, s7, t6 + slt t0, s7, t6 + srai a0, s9, 31 + slli ra, s1, 16 + sltiu zero, s2, 1133 + sra s3, s0, a5 + lui a6, 588415 + andi a3, t2, 1872 + andi a3, t2, 1872 + or s7, a2, s3 + or s7, a2, s3 + or s7, a2, s3 + li a1, 0x80000000 #start riscv_int_numeric_corner_stream_36 + li t2, 0xffffffff + li s5, 0xffffffff + li s7, 0xffffffff + li s9, 0x0 + li t0, 0x0 + li t5, 0xc49bbeca + li t3, 0x0 + li a3, 0x0 + li s1, 0x80000000 + add s7, a1, s7 + add s7, a1, s7 + addi a1, a1, -1289 + add s7, a1, s7 + addi a1, a1, -1289 + lui s5, 588415 + add s7, a1, s7 + addi a1, a1, -1289 + auipc t2, 345872 + nop + sub t5, s5, s9 + nop + addi a1, a1, -1289 + auipc t2, 345872 + sub t5, s5, s9 #end riscv_int_numeric_corner_stream_36 + or s7, a2, s3 + lui a6, 588415 + sll t5, a2, zero + srl a4, s11, gp + and a5, t4, t6 + sll t5, a2, zero + add s10, t0, t2 + sra s3, s0, a5 + auipc a5, 345872 + sra s3, s0, a5 + sltiu zero, s2, 1133 + or s7, a2, s3 + nop + sub s1, t5, s9 + sra s3, s0, a5 + slli ra, s1, 16 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + andi a3, t2, 1872 + auipc a5, 345872 + slt t0, s7, t6 + lui a6, 588415 + srli s3, s9, 13 + srli s3, s9, 13 + xor a4, s1, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + slli ra, s1, 16 + xor a4, s1, a5 + nop + sub s1, t5, s9 + sltiu zero, s2, 1133 + srai a0, s9, 31 + auipc a5, 345872 + sltu s6, s6, s5 + andi a3, t2, 1872 + nop + sub s1, t5, s9 + sltu s6, s6, s5 + andi a3, t2, 1872 + add s10, t0, t2 + srli s3, s9, 13 + slli ra, s1, 16 + add s10, t0, t2 + or s7, a2, s3 + slli ra, s1, 16 + sra s3, s0, a5 + sub s1, t5, s9 + xori s8, s5, 235 + slti t6, t2, -1613 + sltu s6, s6, s5 + slli ra, s1, 16 + sltiu zero, s2, 1133 + srai a0, s9, 31 + or s7, a2, s3 + sra s3, s0, a5 + xori s8, s5, 235 + slt t0, s7, t6 + andi a3, t2, 1872 + xori s8, s5, 235 + sra s3, s0, a5 + auipc a5, 345872 + sub s1, t5, s9 + andi a3, t2, 1872 + srli s3, s9, 13 + auipc a5, 345872 + nop + or s7, a2, s3 + auipc a5, 345872 + sltiu zero, s2, 1133 + xor a4, s1, a5 + sltu s6, s6, s5 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + add s10, t0, t2 + nop + srli s3, s9, 13 + or s7, a2, s3 + addi a7, a1, -1289 + slti t6, t2, -1613 + xor a4, s1, a5 + andi a3, t2, 1872 + lui a6, 588415 + slli ra, s1, 16 + xori s8, s5, 235 + addi a7, a1, -1289 + add s10, t0, t2 + lui a6, 588415 + xor a4, s1, a5 + and a5, t4, t6 + slti t6, t2, -1613 + sltu s6, s6, s5 + nop + or s7, a2, s3 + srl a4, s11, gp + lui a6, 588415 + slti t6, t2, -1613 + srli s3, s9, 13 + sll t5, a2, zero + nop + srai a0, s9, 31 + add s10, t0, t2 + or s7, a2, s3 + slti t6, t2, -1613 + nop + xor a4, s1, a5 + srli s3, s9, 13 + or s7, a2, s3 + addi a7, a1, -1289 + andi a3, t2, 1872 + srai a0, s9, 31 + sltiu zero, s2, 1133 + srai a0, s9, 31 + xori s8, s5, 235 + sll t5, a2, zero + auipc a5, 345872 + srl a4, s11, gp + add s10, t0, t2 + lui a6, 588415 + lui a6, 588415 + sltu s6, s6, s5 + auipc a5, 345872 + sra s3, s0, a5 + addi a7, a1, -1289 + srai a0, s9, 31 + slti t6, t2, -1613 + or s7, a2, s3 + addi a7, a1, -1289 + sll t5, a2, zero + ori s3, s0, -107 + add s10, t0, t2 + slli ra, s1, 16 + addi a7, a1, -1289 + srai a0, s9, 31 + srai a0, s9, 31 + sra s3, s0, a5 + slli ra, s1, 16 + auipc a5, 345872 + nop + ori s3, s0, -107 + addi a7, a1, -1289 + sra s3, s0, a5 + slti t6, t2, -1613 + sra s3, s0, a5 + nop + addi a7, a1, -1289 + srl a4, s11, gp + auipc a5, 345872 + nop + srl a4, s11, gp + sra s3, s0, a5 + or s7, a2, s3 + sll t5, a2, zero + slli ra, s1, 16 + sll t5, a2, zero + srli s3, s9, 13 + srli s3, s9, 13 + srli s3, s9, 13 + slli ra, s1, 16 + nop + sub s1, t5, s9 + srai a0, s9, 31 + add s10, t0, t2 + nop + srli s3, s9, 13 + srai a0, s9, 31 + ori s3, s0, -107 + sra s3, s0, a5 + ori s3, s0, -107 + auipc a5, 345872 + srai a0, s9, 31 + srai a0, s9, 31 + sll t5, a2, zero + add s10, t0, t2 + andi a3, t2, 1872 + auipc a5, 345872 + sra s3, s0, a5 + andi a3, t2, 1872 + and a5, t4, t6 + slli ra, s1, 16 + add s10, t0, t2 + srli s3, s9, 13 + addi a7, a1, -1289 + lui a6, 588415 + addi a7, a1, -1289 + xor a4, s1, a5 + xor a4, s1, a5 + auipc a5, 345872 + sltiu zero, s2, 1133 + sub s1, t5, s9 + add s10, t0, t2 + ori s3, s0, -107 + slli ra, s1, 16 + srli s3, s9, 13 + sltu s6, s6, s5 + srai a0, s9, 31 + lui a6, 588415 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + xori s8, s5, 235 + sra s3, s0, a5 + slti t6, t2, -1613 + xor a4, s1, a5 + slli ra, s1, 16 + slli ra, s1, 16 + ori s3, s0, -107 + andi a3, t2, 1872 + nop + nop + andi a3, t2, 1872 + slti t6, t2, -1613 + add s10, t0, t2 + sltu s6, s6, s5 + sltu s6, s6, s5 + or s7, a2, s3 + sll t5, a2, zero + and a5, t4, t6 + slti t6, t2, -1613 + slli ra, s1, 16 + srl a4, s11, gp + lui a6, 588415 + slti t6, t2, -1613 + addi a7, a1, -1289 + srl a4, s11, gp + andi a3, t2, 1872 + sub s1, t5, s9 + xor a4, s1, a5 + xor a4, s1, a5 + srai a0, s9, 31 + sltu s6, s6, s5 + addi a7, a1, -1289 + slt t0, s7, t6 + sra s3, s0, a5 + sltu s6, s6, s5 + sub s1, t5, s9 + slti t6, t2, -1613 + slt t0, s7, t6 + andi a3, t2, 1872 + srli s3, s9, 13 + add s10, t0, t2 + slt t0, s7, t6 + and a5, t4, t6 + srl a4, s11, gp + sra s3, s0, a5 + auipc a5, 345872 + add s10, t0, t2 + and a5, t4, t6 + sltu s6, s6, s5 + srl a4, s11, gp + srai a0, s9, 31 + or s7, a2, s3 + srai a0, s9, 31 + andi a3, t2, 1872 + lui a6, 588415 + sll t5, a2, zero + ori s3, s0, -107 + srl a4, s11, gp + xor a4, s1, a5 + slti t6, t2, -1613 + slli ra, s1, 16 + and a5, t4, t6 + addi a7, a1, -1289 + srl a4, s11, gp + and a5, t4, t6 + xor a4, s1, a5 + xor a4, s1, a5 + srl a4, s11, gp + srl a4, s11, gp + xori s8, s5, 235 + srl a4, s11, gp + andi a3, t2, 1872 + xor a4, s1, a5 + nop + auipc a5, 345872 + sub s1, t5, s9 + sltiu zero, s2, 1133 + sra s3, s0, a5 + sra s3, s0, a5 + add s10, t0, t2 + and a5, t4, t6 + lui a6, 588415 + addi a7, a1, -1289 + sll t5, a2, zero + sub s1, t5, s9 + sll t5, a2, zero + srli s3, s9, 13 + add s10, t0, t2 + slli ra, s1, 16 + sll t5, a2, zero + srli s3, s9, 13 + andi a3, t2, 1872 + slt t0, s7, t6 + nop + andi a3, t2, 1872 + and a5, t4, t6 + sltu s6, s6, s5 + sub s1, t5, s9 + slt t0, s7, t6 + add s10, t0, t2 + add s10, t0, t2 + srli s3, s9, 13 + slt t0, s7, t6 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + slt t0, s7, t6 + sltu s6, s6, s5 + srai a0, s9, 31 + sltu s6, s6, s5 + or s7, a2, s3 + srli s3, s9, 13 + srl a4, s11, gp + sll t5, a2, zero + and a5, t4, t6 + slti t6, t2, -1613 + srai a0, s9, 31 + xori s8, s5, 235 + xor a4, s1, a5 + nop + sltiu zero, s2, 1133 + and a5, t4, t6 + slli ra, s1, 16 + auipc a5, 345872 + lui a6, 588415 + sltiu zero, s2, 1133 + nop + srl a4, s11, gp + slli ra, s1, 16 + sltu s6, s6, s5 + sub s1, t5, s9 + sltu s6, s6, s5 + srai a0, s9, 31 + slti t6, t2, -1613 + sll t5, a2, zero + lui a6, 588415 + or s7, a2, s3 + xori s8, s5, 235 + srl a4, s11, gp + auipc a5, 345872 + xori s8, s5, 235 + srli s3, s9, 13 + sub s1, t5, s9 + srl a4, s11, gp + andi a3, t2, 1872 + slti t6, t2, -1613 + auipc a5, 345872 + auipc a5, 345872 + srli s3, s9, 13 + slt t0, s7, t6 + lui a6, 588415 + or s7, a2, s3 + srl a4, s11, gp + sltu s6, s6, s5 + addi a7, a1, -1289 + sub s1, t5, s9 + sra s3, s0, a5 + li a0, 0x0 #start riscv_int_numeric_corner_stream_0 + li s3, 0xffffffff + li s5, 0xffffffff + li s7, 0xffffffff + li a1, 0x0 + li sp, 0x0 + li a5, 0x80000000 + li ra, 0xffffffff + li s8, 0xffffffff + li s6, 0x80000000 + nop + lui s5, 588415 + sub a0, s6, a1 + lui s5, 588415 + add s8, a5, s7 + lui s5, 588415 + sub a0, s6, a1 + auipc a5, 345872 + lui s5, 588415 + sub a0, s6, a1 + auipc a5, 345872 + nop + sub a0, s6, a1 + nop + nop #end riscv_int_numeric_corner_stream_0 + sub s1, t5, s9 + andi a3, t2, 1872 + sll t5, a2, zero + slti t6, t2, -1613 + srai a0, s9, 31 + andi a3, t2, 1872 + and a5, t4, t6 + nop + and a5, t4, t6 + addi a7, a1, -1289 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + auipc a5, 345872 + slti t6, t2, -1613 + slli ra, s1, 16 + or s7, a2, s3 + or s7, a2, s3 + nop + srai a0, s9, 31 + sub s1, t5, s9 + nop + ori s3, s0, -107 + sub s1, t5, s9 + slti t6, t2, -1613 + xor a4, s1, a5 + slli ra, s1, 16 + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + sll t5, a2, zero + xori s8, s5, 235 + or s7, a2, s3 + andi a3, t2, 1872 + slt t0, s7, t6 + xori s8, s5, 235 + ori s3, s0, -107 + ori s3, s0, -107 + sll t5, a2, zero + or s7, a2, s3 + nop + sltiu zero, s2, 1133 + and a5, t4, t6 + slli ra, s1, 16 + andi a3, t2, 1872 + lui a6, 588415 + xor a4, s1, a5 + xor a4, s1, a5 + srl a4, s11, gp + lui a6, 588415 + or s7, a2, s3 + srai a0, s9, 31 + ori s3, s0, -107 + sra s3, s0, a5 + or s7, a2, s3 + lui a6, 588415 + addi a7, a1, -1289 + add s10, t0, t2 + srai a0, s9, 31 + ori s3, s0, -107 + slli ra, s1, 16 + sltu s6, s6, s5 + sltu s6, s6, s5 + xor a4, s1, a5 + sltiu zero, s2, 1133 + slli ra, s1, 16 + sra s3, s0, a5 + nop + sra s3, s0, a5 + and a5, t4, t6 + sra s3, s0, a5 + andi a3, t2, 1872 + srai a0, s9, 31 + srl a4, s11, gp + or s7, a2, s3 + xor a4, s1, a5 + xor a4, s1, a5 + auipc a5, 345872 + ori s3, s0, -107 + slt t0, s7, t6 + sltiu zero, s2, 1133 + and a5, t4, t6 + auipc a5, 345872 + or s7, a2, s3 + sll t5, a2, zero + sltiu zero, s2, 1133 + addi a7, a1, -1289 + nop + andi a3, t2, 1872 + or s7, a2, s3 + slli ra, s1, 16 + srl a4, s11, gp + slt t0, s7, t6 + srl a4, s11, gp + sub s1, t5, s9 + srl a4, s11, gp + srli s3, s9, 13 + ori s3, s0, -107 + srl a4, s11, gp + xori s8, s5, 235 + andi a3, t2, 1872 + sub s1, t5, s9 + srai a0, s9, 31 + srli s3, s9, 13 + sltu s6, s6, s5 + sra s3, s0, a5 + xor a4, s1, a5 + slt t0, s7, t6 + sub s1, t5, s9 + sra s3, s0, a5 + lui a6, 588415 + auipc a5, 345872 + ori s3, s0, -107 + xori s8, s5, 235 + add s10, t0, t2 + sll t5, a2, zero + srli s3, s9, 13 + sltiu zero, s2, 1133 + nop + srl a4, s11, gp + nop + andi a3, t2, 1872 + add s10, t0, t2 + or s7, a2, s3 + slli ra, s1, 16 + srl a4, s11, gp + srli s3, s9, 13 + xori s8, s5, 235 + add s10, t0, t2 + andi a3, t2, 1872 + andi a3, t2, 1872 + nop + lui a6, 588415 + andi a3, t2, 1872 + srai a0, s9, 31 + slt t0, s7, t6 + addi a7, a1, -1289 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + add s10, t0, t2 + nop + add s10, t0, t2 + andi a3, t2, 1872 + lui a6, 588415 + slt t0, s7, t6 + nop + slti t6, t2, -1613 + auipc a5, 345872 + slt t0, s7, t6 + addi a7, a1, -1289 + andi a3, t2, 1872 + auipc a5, 345872 + srl a4, s11, gp + or s7, a2, s3 + auipc a5, 345872 + auipc a5, 345872 + lui a6, 588415 + srli s3, s9, 13 + ori s3, s0, -107 + or s7, a2, s3 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + slli ra, s1, 16 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + slti t6, t2, -1613 + andi a3, t2, 1872 + sra s3, s0, a5 + xori s8, s5, 235 + slti t6, t2, -1613 + or s7, a2, s3 + sub s1, t5, s9 + lui a6, 588415 + andi a3, t2, 1872 + andi a3, t2, 1872 + xori s8, s5, 235 + sub s1, t5, s9 + srai a0, s9, 31 + ori s3, s0, -107 + sub s1, t5, s9 + sltu s6, s6, s5 + srai a0, s9, 31 + sub s1, t5, s9 + sltu s6, s6, s5 + add s10, t0, t2 + srli s3, s9, 13 + add s10, t0, t2 + xori s8, s5, 235 + auipc a5, 345872 + andi a3, t2, 1872 + sltu s6, s6, s5 + nop + xor a4, s1, a5 + nop + sra s3, s0, a5 + xori s8, s5, 235 + srli s3, s9, 13 + sltiu zero, s2, 1133 + xori s8, s5, 235 + sll t5, a2, zero + sll t5, a2, zero + and a5, t4, t6 + sltiu zero, s2, 1133 + auipc a5, 345872 + sll t5, a2, zero + sltiu zero, s2, 1133 + xori s8, s5, 235 + slti t6, t2, -1613 + addi a7, a1, -1289 + nop + xor a4, s1, a5 + auipc a5, 345872 + slli ra, s1, 16 + xor a4, s1, a5 + xor a4, s1, a5 + srai a0, s9, 31 + sub s1, t5, s9 + sub s1, t5, s9 + srl a4, s11, gp + lui a6, 588415 + srai a0, s9, 31 + ori s3, s0, -107 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + xori s8, s5, 235 + add s10, t0, t2 + auipc a5, 345872 + ori s3, s0, -107 + sltiu zero, s2, 1133 + and a5, t4, t6 + xor a4, s1, a5 + ori s3, s0, -107 + add s10, t0, t2 + addi a7, a1, -1289 + or s7, a2, s3 + slt t0, s7, t6 + nop + nop + srai a0, s9, 31 + slt t0, s7, t6 + andi a3, t2, 1872 + ori s3, s0, -107 + xori s8, s5, 235 + slt t0, s7, t6 + srl a4, s11, gp + lui a6, 588415 + slli ra, s1, 16 + addi a7, a1, -1289 + auipc a5, 345872 + slli ra, s1, 16 + xor a4, s1, a5 + sub s1, t5, s9 + xori s8, s5, 235 + srai a0, s9, 31 + sra s3, s0, a5 + sub s1, t5, s9 + sub s1, t5, s9 + xor a4, s1, a5 + or s7, a2, s3 + nop + sub s1, t5, s9 + slli ra, s1, 16 + sra s3, s0, a5 + addi a7, a1, -1289 + sltu s6, s6, s5 + xor a4, s1, a5 + and a5, t4, t6 + sra s3, s0, a5 + slli ra, s1, 16 + sltu s6, s6, s5 + srai a0, s9, 31 + sll t5, a2, zero + sltiu zero, s2, 1133 + slti t6, t2, -1613 + auipc a5, 345872 + sra s3, s0, a5 + srl a4, s11, gp + andi a3, t2, 1872 + add s10, t0, t2 + addi a7, a1, -1289 + ori s3, s0, -107 + lui a6, 588415 + xor a4, s1, a5 + sra s3, s0, a5 + srai a0, s9, 31 + add s10, t0, t2 + sltu s6, s6, s5 + or s7, a2, s3 + andi a3, t2, 1872 + add s10, t0, t2 + or s7, a2, s3 + andi a3, t2, 1872 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + sra s3, s0, a5 + srai a0, s9, 31 + lui a6, 588415 + nop + sltu s6, s6, s5 + slti t6, t2, -1613 + slli ra, s1, 16 + add s10, t0, t2 + srli s3, s9, 13 + srai a0, s9, 31 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + sra s3, s0, a5 + srl a4, s11, gp + sltiu zero, s2, 1133 + slli ra, s1, 16 + and a5, t4, t6 + sltu s6, s6, s5 + srl a4, s11, gp + srai a0, s9, 31 + and a5, t4, t6 + slt t0, s7, t6 + auipc a5, 345872 + xori s8, s5, 235 + slt t0, s7, t6 + addi a7, a1, -1289 + xor a4, s1, a5 + andi a3, t2, 1872 + or s7, a2, s3 + srai a0, s9, 31 + auipc a5, 345872 + slti t6, t2, -1613 + sub s1, t5, s9 + sll t5, a2, zero + sra s3, s0, a5 + lui a6, 588415 + srai a0, s9, 31 + sll t5, a2, zero + sll t5, a2, zero + slli ra, s1, 16 + sub s1, t5, s9 + srli s3, s9, 13 + or s7, a2, s3 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + andi a3, t2, 1872 + sltu s6, s6, s5 + slli ra, s1, 16 + slti t6, t2, -1613 + nop + auipc a5, 345872 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + srai a0, s9, 31 + auipc a5, 345872 + add s10, t0, t2 + slli ra, s1, 16 + slt t0, s7, t6 + xor a4, s1, a5 + or s7, a2, s3 + slti t6, t2, -1613 + xor a4, s1, a5 + or s7, a2, s3 + sll t5, a2, zero + sltu s6, s6, s5 + sub s1, t5, s9 + xori s8, s5, 235 + and a5, t4, t6 + slt t0, s7, t6 + andi a3, t2, 1872 + sub s1, t5, s9 + auipc a5, 345872 + srai a0, s9, 31 + nop + sltu s6, s6, s5 + slt t0, s7, t6 + xori s8, s5, 235 + xori s8, s5, 235 + xor a4, s1, a5 + srli s3, s9, 13 + sll t5, a2, zero + nop + slti t6, t2, -1613 + add s10, t0, t2 + slt t0, s7, t6 + auipc a5, 345872 + srli s3, s9, 13 + xor a4, s1, a5 + slt t0, s7, t6 + lui a6, 588415 + slti t6, t2, -1613 + andi a3, t2, 1872 + sll t5, a2, zero + and a5, t4, t6 + and a5, t4, t6 + addi a7, a1, -1289 + andi a3, t2, 1872 + xor a4, s1, a5 + lui a6, 588415 + xor a4, s1, a5 + andi a3, t2, 1872 + ori s3, s0, -107 + srai a0, s9, 31 + nop + and a5, t4, t6 + auipc a5, 345872 + sltu s6, s6, s5 + slt t0, s7, t6 + add s10, t0, t2 + add s10, t0, t2 + sltu s6, s6, s5 + sub s1, t5, s9 + xori s8, s5, 235 + sltiu zero, s2, 1133 + srai a0, s9, 31 + sltiu zero, s2, 1133 + srli s3, s9, 13 + add s10, t0, t2 + slti t6, t2, -1613 + sltu s6, s6, s5 + lui a6, 588415 + srl a4, s11, gp + and a5, t4, t6 + sltu s6, s6, s5 + or s7, a2, s3 + auipc a5, 345872 + slli ra, s1, 16 + andi a3, t2, 1872 + slt t0, s7, t6 + and a5, t4, t6 + srli s3, s9, 13 + lui a6, 588415 + or s7, a2, s3 + nop + sll t5, a2, zero + lui a6, 588415 + or s7, a2, s3 + slt t0, s7, t6 + andi a3, t2, 1872 + srai a0, s9, 31 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + xor a4, s1, a5 + srai a0, s9, 31 + xori s8, s5, 235 + srl a4, s11, gp + and a5, t4, t6 + add s10, t0, t2 + ori s3, s0, -107 + or s7, a2, s3 + lui a6, 588415 + sub s1, t5, s9 + sub s1, t5, s9 + srli s3, s9, 13 + srl a4, s11, gp + add s10, t0, t2 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + sra s3, s0, a5 + auipc a5, 345872 + sra s3, s0, a5 + ori s3, s0, -107 + or s7, a2, s3 + slti t6, t2, -1613 + or s7, a2, s3 + srai a0, s9, 31 + sltu s6, s6, s5 + nop + and a5, t4, t6 + slli ra, s1, 16 + addi a7, a1, -1289 + and a5, t4, t6 + xor a4, s1, a5 + auipc a5, 345872 + add s10, t0, t2 + slli ra, s1, 16 + sll t5, a2, zero + sub s1, t5, s9 + slli ra, s1, 16 + sltu s6, s6, s5 + srl a4, s11, gp + ori s3, s0, -107 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + add s10, t0, t2 + xor a4, s1, a5 + lui a6, 588415 + or s7, a2, s3 + srli s3, s9, 13 + addi a7, a1, -1289 + addi a7, a1, -1289 + sra s3, s0, a5 + srl a4, s11, gp + srl a4, s11, gp + srli s3, s9, 13 + andi a3, t2, 1872 + add s10, t0, t2 + sra s3, s0, a5 + slli ra, s1, 16 + xori s8, s5, 235 + andi a3, t2, 1872 + auipc a5, 345872 + or s7, a2, s3 + sub s1, t5, s9 + and a5, t4, t6 + sltiu zero, s2, 1133 + slli ra, s1, 16 + slti t6, t2, -1613 + sll t5, a2, zero + xori s8, s5, 235 + sub s1, t5, s9 + addi a7, a1, -1289 + auipc a5, 345872 + auipc a5, 345872 + srl a4, s11, gp + addi a7, a1, -1289 + sltiu zero, s2, 1133 + add s10, t0, t2 + srl a4, s11, gp + and a5, t4, t6 + andi a3, t2, 1872 + auipc a5, 345872 + slti t6, t2, -1613 + sra s3, s0, a5 + addi a7, a1, -1289 + add s10, t0, t2 + sra s3, s0, a5 + slli ra, s1, 16 + sltiu zero, s2, 1133 + or s7, a2, s3 + nop + add s10, t0, t2 + sll t5, a2, zero + andi a3, t2, 1872 + andi a3, t2, 1872 + addi a7, a1, -1289 + srai a0, s9, 31 + sltiu zero, s2, 1133 + add s10, t0, t2 + srl a4, s11, gp + auipc a5, 345872 + sltiu zero, s2, 1133 + sra s3, s0, a5 + addi a7, a1, -1289 + sll t5, a2, zero + sltu s6, s6, s5 + srl a4, s11, gp + srai a0, s9, 31 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + lui a6, 588415 + sra s3, s0, a5 + sra s3, s0, a5 + and a5, t4, t6 + auipc a5, 345872 + srl a4, s11, gp + or s7, a2, s3 + sra s3, s0, a5 + sll t5, a2, zero + ori s3, s0, -107 + sub s1, t5, s9 + sub s1, t5, s9 + srli s3, s9, 13 + xor a4, s1, a5 + auipc a5, 345872 + sub s1, t5, s9 + ori s3, s0, -107 + sltu s6, s6, s5 + xor a4, s1, a5 + sra s3, s0, a5 + slli ra, s1, 16 + xor a4, s1, a5 + and a5, t4, t6 + sub s1, t5, s9 + sll t5, a2, zero + andi a3, t2, 1872 + slli ra, s1, 16 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + srli s3, s9, 13 + sub s1, t5, s9 + add s10, t0, t2 + slti t6, t2, -1613 + slli ra, s1, 16 + sub s1, t5, s9 + sltu s6, s6, s5 + lui a6, 588415 + sltiu zero, s2, 1133 + and a5, t4, t6 + nop + slti t6, t2, -1613 + xori s8, s5, 235 + srl a4, s11, gp + nop + and a5, t4, t6 + ori s3, s0, -107 + sra s3, s0, a5 + srli s3, s9, 13 + andi a3, t2, 1872 + lui a6, 588415 + andi a3, t2, 1872 + srl a4, s11, gp + sltiu zero, s2, 1133 + sra s3, s0, a5 + andi a3, t2, 1872 + sltu s6, s6, s5 + auipc a5, 345872 + slt t0, s7, t6 + add s10, t0, t2 + sra s3, s0, a5 + sub s1, t5, s9 + and a5, t4, t6 + srai a0, s9, 31 + sltu s6, s6, s5 + slti t6, t2, -1613 + add s10, t0, t2 + srl a4, s11, gp + sll t5, a2, zero + sll t5, a2, zero + slt t0, s7, t6 + sll t5, a2, zero + auipc a5, 345872 + sub s1, t5, s9 + nop + or s7, a2, s3 + slt t0, s7, t6 + or s7, a2, s3 + nop + srli s3, s9, 13 + nop + sub s1, t5, s9 + srl a4, s11, gp + xori s8, s5, 235 + andi a3, t2, 1872 + nop + nop + xor a4, s1, a5 + sltu s6, s6, s5 + or s7, a2, s3 + xor a4, s1, a5 + auipc a5, 345872 + srai a0, s9, 31 + auipc a5, 345872 + slti t6, t2, -1613 + srl a4, s11, gp + sltu s6, s6, s5 + ori s3, s0, -107 + xor a4, s1, a5 + xori s8, s5, 235 + nop + ori s3, s0, -107 + slt t0, s7, t6 + and a5, t4, t6 + addi a7, a1, -1289 + addi a7, a1, -1289 + srl a4, s11, gp + auipc a5, 345872 + xor a4, s1, a5 + ori s3, s0, -107 + addi a7, a1, -1289 + or s7, a2, s3 + ori s3, s0, -107 + nop + add s10, t0, t2 + sra s3, s0, a5 + slti t6, t2, -1613 + xori s8, s5, 235 + sra s3, s0, a5 + sub s1, t5, s9 + sra s3, s0, a5 + add s10, t0, t2 + sltu s6, s6, s5 + sra s3, s0, a5 + andi a3, t2, 1872 + srl a4, s11, gp + sra s3, s0, a5 + xor a4, s1, a5 + addi a7, a1, -1289 + srai a0, s9, 31 + srli s3, s9, 13 + sub s1, t5, s9 + sra s3, s0, a5 + sltiu zero, s2, 1133 + slli ra, s1, 16 + or s7, a2, s3 + auipc a5, 345872 + addi a7, a1, -1289 + li a4, 0xb5a0c2ce #start riscv_int_numeric_corner_stream_26 + li s5, 0x80000000 + li ra, 0x0 + li a3, 0x0 + li s8, 0xfb124991 + li t3, 0x80000000 + li s10, 0x6abe4691 + li a2, 0x0 + li a0, 0x0 + li sp, 0x80000000 + nop + addi ra, ra, -1289 + nop + addi ra, ra, -1289 + add t3, s5, a4 + auipc a3, 345872 + add t3, s5, a4 + sub t3, s5, ra + lui s5, 588415 + lui s5, 588415 + nop + sub t3, s5, ra + auipc a3, 345872 + nop + nop + sub t3, s5, ra + add t3, s5, a4 + nop + nop + nop + lui s5, 588415 + auipc a3, 345872 + sub t3, s5, ra + lui s5, 588415 #end riscv_int_numeric_corner_stream_26 + xor a4, s1, a5 + or s7, a2, s3 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + lui a6, 588415 + slti t6, t2, -1613 + xori s8, s5, 235 + auipc a5, 345872 + srai a0, s9, 31 + srl a4, s11, gp + lui a6, 588415 + andi a3, t2, 1872 + add s10, t0, t2 + xori s8, s5, 235 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + nop + add s10, t0, t2 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + auipc a5, 345872 + addi a7, a1, -1289 + auipc a5, 345872 + slli ra, s1, 16 + sltu s6, s6, s5 + sltu s6, s6, s5 + nop + xori s8, s5, 235 + nop + sltiu zero, s2, 1133 + xor a4, s1, a5 + sltu s6, s6, s5 + sub s1, t5, s9 + xori s8, s5, 235 + xor a4, s1, a5 + srli s3, s9, 13 + srli s3, s9, 13 + xori s8, s5, 235 + nop + sub s1, t5, s9 + addi a7, a1, -1289 + srli s3, s9, 13 + srai a0, s9, 31 + andi a3, t2, 1872 + addi a7, a1, -1289 + sra s3, s0, a5 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + srl a4, s11, gp + lui a6, 588415 + or s7, a2, s3 + or s7, a2, s3 + lui a6, 588415 + auipc a5, 345872 + sltu s6, s6, s5 + addi a7, a1, -1289 + sub s1, t5, s9 + sll t5, a2, zero + xor a4, s1, a5 + srl a4, s11, gp + ori s3, s0, -107 + sra s3, s0, a5 + srai a0, s9, 31 + nop + and a5, t4, t6 + add s10, t0, t2 + sltu s6, s6, s5 + addi a7, a1, -1289 + xori s8, s5, 235 + andi a3, t2, 1872 + slti t6, t2, -1613 + srl a4, s11, gp + andi a3, t2, 1872 + ori s3, s0, -107 + sltu s6, s6, s5 + and a5, t4, t6 + srli s3, s9, 13 + add s10, t0, t2 + andi a3, t2, 1872 + xor a4, s1, a5 + xori s8, s5, 235 + sub s1, t5, s9 + sll t5, a2, zero + sra s3, s0, a5 + sra s3, s0, a5 + sll t5, a2, zero + sra s3, s0, a5 + sltiu zero, s2, 1133 + xori s8, s5, 235 + or s7, a2, s3 + srai a0, s9, 31 + nop + nop + sltu s6, s6, s5 + sra s3, s0, a5 + auipc a5, 345872 + ori s3, s0, -107 + slli ra, s1, 16 + xor a4, s1, a5 + sltu s6, s6, s5 + sub s1, t5, s9 + xor a4, s1, a5 + ori s3, s0, -107 + xori s8, s5, 235 + sll t5, a2, zero + xori s8, s5, 235 + sub s1, t5, s9 + ori s3, s0, -107 + slli ra, s1, 16 + xori s8, s5, 235 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + auipc a5, 345872 + srl a4, s11, gp + sltu s6, s6, s5 + slt t0, s7, t6 + sltiu zero, s2, 1133 + xori s8, s5, 235 + nop + srl a4, s11, gp + lui a6, 588415 + lui a6, 588415 + srli s3, s9, 13 + add s10, t0, t2 + li ra, 0xb54d82d3 #start riscv_int_numeric_corner_stream_5 + li t1, 0x0 + li sp, 0x0 + li t3, 0x0 + li gp, 0xffffffff + li s11, 0x80000000 + li s1, 0x80000000 + li a6, 0x80000000 + li a1, 0x0 + li s6, 0xf326fe4b + sub a6, t3, s1 + lui a6, 588415 + auipc a1, 345872 + sub a6, t3, s1 + addi a1, gp, -1289 + add a6, s11, gp + addi a1, gp, -1289 + lui a6, 588415 + addi a1, gp, -1289 + nop + lui a6, 588415 + auipc a1, 345872 + addi a1, gp, -1289 + add a6, s11, gp + addi a1, gp, -1289 + addi a1, gp, -1289 + addi a1, gp, -1289 + nop + lui a6, 588415 #end riscv_int_numeric_corner_stream_5 + slti t6, t2, -1613 + andi a3, t2, 1872 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + add s10, t0, t2 + slti t6, t2, -1613 + and a5, t4, t6 + slt t0, s7, t6 + sltu s6, s6, s5 + ori s3, s0, -107 + xori s8, s5, 235 + srli s3, s9, 13 + slli ra, s1, 16 + auipc a5, 345872 + sltiu zero, s2, 1133 + slli ra, s1, 16 + srl a4, s11, gp + sll t5, a2, zero + slti t6, t2, -1613 + sll t5, a2, zero + andi a3, t2, 1872 + srli s3, s9, 13 + xori s8, s5, 235 + or s7, a2, s3 + sltiu zero, s2, 1133 + sub s1, t5, s9 + xori s8, s5, 235 + xori s8, s5, 235 + lui a6, 588415 + nop + and a5, t4, t6 + or s7, a2, s3 + xor a4, s1, a5 + slli ra, s1, 16 + add s10, t0, t2 + andi a3, t2, 1872 + sll t5, a2, zero + srai a0, s9, 31 + addi a7, a1, -1289 + andi a3, t2, 1872 + sll t5, a2, zero + lui a6, 588415 + sltiu zero, s2, 1133 + xori s8, s5, 235 + addi a7, a1, -1289 + andi a3, t2, 1872 + nop + srai a0, s9, 31 + slti t6, t2, -1613 + sltu s6, s6, s5 + ori s3, s0, -107 + add s10, t0, t2 + add s10, t0, t2 + lui a6, 588415 + lui a6, 588415 + sub s1, t5, s9 + nop + xori s8, s5, 235 + xor a4, s1, a5 + slti t6, t2, -1613 + and a5, t4, t6 + sltiu zero, s2, 1133 + slli ra, s1, 16 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + slli ra, s1, 16 + or s7, a2, s3 + lui a6, 588415 + or s7, a2, s3 + srai a0, s9, 31 + xor a4, s1, a5 + srl a4, s11, gp + sra s3, s0, a5 + srl a4, s11, gp + sra s3, s0, a5 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + auipc a5, 345872 + srl a4, s11, gp + ori s3, s0, -107 + sltiu zero, s2, 1133 + and a5, t4, t6 + lui a6, 588415 + srl a4, s11, gp + sltiu zero, s2, 1133 + sra s3, s0, a5 + xor a4, s1, a5 + srl a4, s11, gp + or s7, a2, s3 + sub s1, t5, s9 + andi a3, t2, 1872 + andi a3, t2, 1872 + srli s3, s9, 13 + ori s3, s0, -107 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + slt t0, s7, t6 + addi a7, a1, -1289 + or s7, a2, s3 + slli ra, s1, 16 + lui a6, 588415 + and a5, t4, t6 + slti t6, t2, -1613 + slt t0, s7, t6 + sub s1, t5, s9 + xori s8, s5, 235 + auipc a5, 345872 + xori s8, s5, 235 + and a5, t4, t6 + srai a0, s9, 31 + lui a6, 588415 + lui a6, 588415 + addi a7, a1, -1289 + addi a7, a1, -1289 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + sub s1, t5, s9 + xori s8, s5, 235 + xor a4, s1, a5 + sub s1, t5, s9 + and a5, t4, t6 + srli s3, s9, 13 + or s7, a2, s3 + andi a3, t2, 1872 + andi a3, t2, 1872 + slti t6, t2, -1613 + and a5, t4, t6 + auipc a5, 345872 + srai a0, s9, 31 + slt t0, s7, t6 + ori s3, s0, -107 + xori s8, s5, 235 + sub s1, t5, s9 + srl a4, s11, gp + slti t6, t2, -1613 + sra s3, s0, a5 + auipc a5, 345872 + xori s8, s5, 235 + addi a7, a1, -1289 + slli ra, s1, 16 + srai a0, s9, 31 + xori s8, s5, 235 + slt t0, s7, t6 + sltu s6, s6, s5 + sll t5, a2, zero + slli ra, s1, 16 + srai a0, s9, 31 + sub s1, t5, s9 + add s10, t0, t2 + auipc a5, 345872 + sub s1, t5, s9 + or s7, a2, s3 + sltiu zero, s2, 1133 + lui a6, 588415 + xor a4, s1, a5 + slti t6, t2, -1613 + add s10, t0, t2 + srl a4, s11, gp + sub s1, t5, s9 + and a5, t4, t6 + slti t6, t2, -1613 + slt t0, s7, t6 + slti t6, t2, -1613 + srli s3, s9, 13 + srli s3, s9, 13 + sub s1, t5, s9 + auipc a5, 345872 + slt t0, s7, t6 + sra s3, s0, a5 + or s7, a2, s3 + add s10, t0, t2 + sub s1, t5, s9 + sltu s6, s6, s5 + slti t6, t2, -1613 + sltu s6, s6, s5 + and a5, t4, t6 + slt t0, s7, t6 + or s7, a2, s3 + slt t0, s7, t6 + srai a0, s9, 31 + and a5, t4, t6 + xori s8, s5, 235 + and a5, t4, t6 + add s10, t0, t2 + xor a4, s1, a5 + srai a0, s9, 31 + ori s3, s0, -107 + andi a3, t2, 1872 + slt t0, s7, t6 + slli ra, s1, 16 + andi a3, t2, 1872 + xor a4, s1, a5 + add s10, t0, t2 + addi a7, a1, -1289 + slt t0, s7, t6 + sra s3, s0, a5 + and a5, t4, t6 + srai a0, s9, 31 + slt t0, s7, t6 + add s10, t0, t2 + auipc a5, 345872 + or s7, a2, s3 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + lui a6, 588415 + ori s3, s0, -107 + add s10, t0, t2 + ori s3, s0, -107 + sll t5, a2, zero + srl a4, s11, gp + sra s3, s0, a5 + sll t5, a2, zero + slti t6, t2, -1613 + slt t0, s7, t6 + sra s3, s0, a5 + and a5, t4, t6 + sra s3, s0, a5 + sltiu zero, s2, 1133 + nop + sra s3, s0, a5 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + or s7, a2, s3 + sltiu zero, s2, 1133 + xor a4, s1, a5 + sltu s6, s6, s5 + and a5, t4, t6 + sra s3, s0, a5 + sra s3, s0, a5 + srli s3, s9, 13 + or s7, a2, s3 + andi a3, t2, 1872 + slli ra, s1, 16 + xor a4, s1, a5 + srai a0, s9, 31 + srl a4, s11, gp + sltu s6, s6, s5 + slti t6, t2, -1613 + addi a7, a1, -1289 + xor a4, s1, a5 + andi a3, t2, 1872 + andi a3, t2, 1872 + ori s3, s0, -107 + srai a0, s9, 31 + nop + andi a3, t2, 1872 + auipc a5, 345872 + auipc a5, 345872 + addi a7, a1, -1289 + slli ra, s1, 16 + nop + sltu s6, s6, s5 + ori s3, s0, -107 + slt t0, s7, t6 + sub s1, t5, s9 + and a5, t4, t6 + slli ra, s1, 16 + andi a3, t2, 1872 + or s7, a2, s3 + xor a4, s1, a5 + lui a6, 588415 + ori s3, s0, -107 + auipc a5, 345872 + auipc a5, 345872 + slti t6, t2, -1613 + or s7, a2, s3 + sra s3, s0, a5 + nop + auipc a5, 345872 + slt t0, s7, t6 + or s7, a2, s3 + srli s3, s9, 13 + srl a4, s11, gp + add s10, t0, t2 + sra s3, s0, a5 + xori s8, s5, 235 + srl a4, s11, gp + add s10, t0, t2 + addi a7, a1, -1289 + slli ra, s1, 16 + nop + sra s3, s0, a5 + sll t5, a2, zero + sra s3, s0, a5 + sltiu zero, s2, 1133 + slt t0, s7, t6 + slt t0, s7, t6 + sra s3, s0, a5 + or s7, a2, s3 + andi a3, t2, 1872 + xori s8, s5, 235 + nop + slli ra, s1, 16 + nop + auipc a5, 345872 + slt t0, s7, t6 + add s10, t0, t2 + srli s3, s9, 13 + srai a0, s9, 31 + sll t5, a2, zero + xor a4, s1, a5 + nop + srli s3, s9, 13 + sll t5, a2, zero + auipc a5, 345872 + andi a3, t2, 1872 + sub s1, t5, s9 + sll t5, a2, zero + auipc a5, 345872 + srl a4, s11, gp + nop + li a7, 0x0 #start riscv_int_numeric_corner_stream_30 + li t6, 0xffffffff + li s9, 0x80000000 + li s10, 0xffffffff + li s1, 0x0 + li sp, 0xffffffff + li a0, 0x80000000 + li a5, 0x80000000 + li s5, 0x80000000 + li s2, 0x0 + addi s5, s9, -1289 + add s2, t6, s2 + add s2, t6, s2 + auipc a5, 345872 + nop + lui a7, 588415 + addi s5, s9, -1289 + add s2, t6, s2 + add s2, t6, s2 + addi s5, s9, -1289 + add s2, t6, s2 + addi s5, s9, -1289 + sub s9, t6, a7 + nop + nop + sub s9, t6, a7 + lui a7, 588415 + addi s5, s9, -1289 + auipc a5, 345872 + auipc a5, 345872 #end riscv_int_numeric_corner_stream_30 + xor a4, s1, a5 + or s7, a2, s3 + sltiu zero, s2, 1133 + xori s8, s5, 235 + slli ra, s1, 16 + xor a4, s1, a5 + sltu s6, s6, s5 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sll t5, a2, zero + xor a4, s1, a5 + xor a4, s1, a5 + nop + srli s3, s9, 13 + slti t6, t2, -1613 + slt t0, s7, t6 + lui a6, 588415 + lui a6, 588415 + sra s3, s0, a5 + xor a4, s1, a5 + lui a6, 588415 + nop + or s7, a2, s3 + sll t5, a2, zero + sltu s6, s6, s5 + srl a4, s11, gp + lui a6, 588415 + xor a4, s1, a5 + slli ra, s1, 16 + auipc a5, 345872 + slli ra, s1, 16 + ori s3, s0, -107 + sltiu zero, s2, 1133 + add s10, t0, t2 + addi a7, a1, -1289 + slli ra, s1, 16 + nop + srai a0, s9, 31 + slt t0, s7, t6 + auipc a5, 345872 + slti t6, t2, -1613 + slli ra, s1, 16 + auipc a5, 345872 + andi a3, t2, 1872 + nop + andi a3, t2, 1872 + sub s1, t5, s9 + slti t6, t2, -1613 + slt t0, s7, t6 + xori s8, s5, 235 + lui a6, 588415 + ori s3, s0, -107 + and a5, t4, t6 + sra s3, s0, a5 + srai a0, s9, 31 + ori s3, s0, -107 + auipc a5, 345872 + xor a4, s1, a5 + slti t6, t2, -1613 + sra s3, s0, a5 + add s10, t0, t2 + srl a4, s11, gp + slli ra, s1, 16 + sra s3, s0, a5 + slt t0, s7, t6 + auipc a5, 345872 + or s7, a2, s3 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + add s10, t0, t2 + lui a6, 588415 + slti t6, t2, -1613 + ori s3, s0, -107 + sra s3, s0, a5 + sll t5, a2, zero + srai a0, s9, 31 + sll t5, a2, zero + slti t6, t2, -1613 + auipc a5, 345872 + srli s3, s9, 13 + andi a3, t2, 1872 + sll t5, a2, zero + slti t6, t2, -1613 + slt t0, s7, t6 + addi a7, a1, -1289 + xor a4, s1, a5 + slli ra, s1, 16 + lui a6, 588415 + srli s3, s9, 13 + or s7, a2, s3 + srl a4, s11, gp + ori s3, s0, -107 + slli ra, s1, 16 + lui a6, 588415 + sra s3, s0, a5 + sll t5, a2, zero + sra s3, s0, a5 + addi a7, a1, -1289 + slli ra, s1, 16 + srl a4, s11, gp + srli s3, s9, 13 + sll t5, a2, zero + sltu s6, s6, s5 + sra s3, s0, a5 + srai a0, s9, 31 + srai a0, s9, 31 + slti t6, t2, -1613 + srli s3, s9, 13 + addi a7, a1, -1289 + srai a0, s9, 31 + srl a4, s11, gp + sltiu zero, s2, 1133 + srai a0, s9, 31 + slt t0, s7, t6 + ori s3, s0, -107 + sub s1, t5, s9 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + lui a6, 588415 + srli s3, s9, 13 + sltiu zero, s2, 1133 + xori s8, s5, 235 + sll t5, a2, zero + slli ra, s1, 16 + slt t0, s7, t6 + or s7, a2, s3 + srl a4, s11, gp + sll t5, a2, zero + nop + andi a3, t2, 1872 + srli s3, s9, 13 + srli s3, s9, 13 + or s7, a2, s3 + sra s3, s0, a5 + and a5, t4, t6 + srai a0, s9, 31 + xori s8, s5, 235 + xori s8, s5, 235 + xori s8, s5, 235 + andi a3, t2, 1872 + ori s3, s0, -107 + slti t6, t2, -1613 + xori s8, s5, 235 + sub s1, t5, s9 + xori s8, s5, 235 + slt t0, s7, t6 + or s7, a2, s3 + xori s8, s5, 235 + srl a4, s11, gp + lui a6, 588415 + xor a4, s1, a5 + srl a4, s11, gp + srli s3, s9, 13 + sltiu zero, s2, 1133 + xori s8, s5, 235 + srl a4, s11, gp + srai a0, s9, 31 + srai a0, s9, 31 + sll t5, a2, zero + auipc a5, 345872 + xor a4, s1, a5 + nop + ori s3, s0, -107 + xori s8, s5, 235 + slti t6, t2, -1613 + sub s1, t5, s9 + xori s8, s5, 235 + auipc a5, 345872 + or s7, a2, s3 + srli s3, s9, 13 + nop + sltu s6, s6, s5 + sll t5, a2, zero + nop + ori s3, s0, -107 + xor a4, s1, a5 + or s7, a2, s3 + addi a7, a1, -1289 + xori s8, s5, 235 + ori s3, s0, -107 + andi a3, t2, 1872 + srli s3, s9, 13 + addi a7, a1, -1289 + sltu s6, s6, s5 + and a5, t4, t6 + addi a7, a1, -1289 + slt t0, s7, t6 + ori s3, s0, -107 + lui a6, 588415 + add s10, t0, t2 + sub s1, t5, s9 + sra s3, s0, a5 + sltu s6, s6, s5 + slti t6, t2, -1613 + sltu s6, s6, s5 + sll t5, a2, zero + xor a4, s1, a5 + sll t5, a2, zero + sra s3, s0, a5 + lui a6, 588415 + slt t0, s7, t6 + sra s3, s0, a5 + andi a3, t2, 1872 + and a5, t4, t6 + xori s8, s5, 235 + slti t6, t2, -1613 + or s7, a2, s3 + sltiu zero, s2, 1133 + nop + slli ra, s1, 16 + or s7, a2, s3 + li s2, 0x0 #start riscv_int_numeric_corner_stream_15 + li t6, 0xffffffff + li s1, 0xfc60782 + li a5, 0x0 + li s5, 0xda16d6c5 + li a3, 0xb982c10a + li a7, 0x0 + li a6, 0xcfef19a2 + li s3, 0xc3456be5 + li s7, 0x80000000 + lui a6, 588415 + lui a6, 588415 + addi s1, s3, -1289 + add s7, t6, s7 + nop + nop + add s7, t6, s7 + auipc a5, 345872 + nop + addi s1, s3, -1289 + sub s1, s2, s1 + addi s1, s3, -1289 + auipc a5, 345872 + addi s1, s3, -1289 + addi s1, s3, -1289 + lui a6, 588415 + nop + auipc a5, 345872 + lui a6, 588415 + sub s1, s2, s1 + lui a6, 588415 + auipc a5, 345872 + auipc a5, 345872 + addi s1, s3, -1289 + nop + add s7, t6, s7 + addi s1, s3, -1289 + auipc a5, 345872 #end riscv_int_numeric_corner_stream_15 + slli ra, s1, 16 + or s7, a2, s3 + slti t6, t2, -1613 + srli s3, s9, 13 + ori s3, s0, -107 + sltiu zero, s2, 1133 + and a5, t4, t6 + sub s1, t5, s9 + andi a3, t2, 1872 + slt t0, s7, t6 + sltu s6, s6, s5 + srai a0, s9, 31 + xor a4, s1, a5 + sll t5, a2, zero + and a5, t4, t6 + lui a6, 588415 + add s10, t0, t2 + srl a4, s11, gp + ori s3, s0, -107 + srl a4, s11, gp + add s10, t0, t2 + slli ra, s1, 16 + slti t6, t2, -1613 + or s7, a2, s3 + slt t0, s7, t6 + sub s1, t5, s9 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + xori s8, s5, 235 + srli s3, s9, 13 + srai a0, s9, 31 + sll t5, a2, zero + sltiu zero, s2, 1133 + and a5, t4, t6 + sra s3, s0, a5 + lui a6, 588415 + ori s3, s0, -107 + slti t6, t2, -1613 + and a5, t4, t6 + and a5, t4, t6 + nop + nop + lui a6, 588415 + slt t0, s7, t6 + addi a7, a1, -1289 + andi a3, t2, 1872 + add s10, t0, t2 + xori s8, s5, 235 + sub s1, t5, s9 + nop + sub s1, t5, s9 + sltu s6, s6, s5 + ori s3, s0, -107 + ori s3, s0, -107 + lui a6, 588415 + sub s1, t5, s9 + slti t6, t2, -1613 + add s10, t0, t2 + ori s3, s0, -107 + slli ra, s1, 16 + srai a0, s9, 31 + xor a4, s1, a5 + add s10, t0, t2 + addi a7, a1, -1289 + or s7, a2, s3 + xori s8, s5, 235 + add s10, t0, t2 + lui a6, 588415 + or s7, a2, s3 + sra s3, s0, a5 + or s7, a2, s3 + sltu s6, s6, s5 + sub s1, t5, s9 + xor a4, s1, a5 + add s10, t0, t2 + srli s3, s9, 13 + add s10, t0, t2 + xor a4, s1, a5 + slti t6, t2, -1613 + sub s1, t5, s9 + srai a0, s9, 31 + sltiu zero, s2, 1133 + srli s3, s9, 13 + nop + sltu s6, s6, s5 + srli s3, s9, 13 + xor a4, s1, a5 + srl a4, s11, gp + add s10, t0, t2 + sra s3, s0, a5 + xor a4, s1, a5 + or s7, a2, s3 + addi a7, a1, -1289 + xori s8, s5, 235 + or s7, a2, s3 + li a2, 0x0 #start riscv_int_numeric_corner_stream_7 + li s8, 0xffffffff + li a1, 0x0 + li s9, 0x80000000 + li s11, 0xffffffff + li s7, 0x0 + li t1, 0x0 + li t4, 0x1a63787a + li t0, 0xffffffff + li a3, 0x0 + nop + lui s7, 588415 + add s7, a1, s7 + lui s7, 588415 + sub t4, s8, s11 + nop + add s7, a1, s7 + add s7, a1, s7 + add s7, a1, s7 + nop + auipc a1, 345872 + lui s7, 588415 + add s7, a1, s7 + lui s7, 588415 + sub t4, s8, s11 + addi s7, s11, -1289 + add s7, a1, s7 + add s7, a1, s7 + sub t4, s8, s11 #end riscv_int_numeric_corner_stream_7 + srai a0, s9, 31 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + or s7, a2, s3 + xori s8, s5, 235 + lui a6, 588415 + xori s8, s5, 235 + slt t0, s7, t6 + sra s3, s0, a5 + andi a3, t2, 1872 + and a5, t4, t6 + ori s3, s0, -107 + sll t5, a2, zero + add s10, t0, t2 + srl a4, s11, gp + sra s3, s0, a5 + sub s1, t5, s9 + sltiu zero, s2, 1133 + auipc a5, 345872 + auipc a5, 345872 + sra s3, s0, a5 + slti t6, t2, -1613 + slt t0, s7, t6 + sub s1, t5, s9 + srli s3, s9, 13 + xori s8, s5, 235 + and a5, t4, t6 + slt t0, s7, t6 + add s10, t0, t2 + addi a7, a1, -1289 + srl a4, s11, gp + andi a3, t2, 1872 + slli ra, s1, 16 + slt t0, s7, t6 + addi a7, a1, -1289 + xor a4, s1, a5 + sub s1, t5, s9 + andi a3, t2, 1872 + srl a4, s11, gp + sltu s6, s6, s5 + srai a0, s9, 31 + slli ra, s1, 16 + auipc a5, 345872 + xori s8, s5, 235 + nop + slli ra, s1, 16 + or s7, a2, s3 + sra s3, s0, a5 + ori s3, s0, -107 + sll t5, a2, zero + slt t0, s7, t6 + sltu s6, s6, s5 + xor a4, s1, a5 + srl a4, s11, gp + sub s1, t5, s9 + slt t0, s7, t6 + sll t5, a2, zero + srai a0, s9, 31 + and a5, t4, t6 + and a5, t4, t6 + srl a4, s11, gp + and a5, t4, t6 + lui a6, 588415 + slt t0, s7, t6 + srai a0, s9, 31 + and a5, t4, t6 + xori s8, s5, 235 + add s10, t0, t2 + auipc a5, 345872 + sra s3, s0, a5 + andi a3, t2, 1872 + auipc a5, 345872 + slt t0, s7, t6 + lui a6, 588415 + sltiu zero, s2, 1133 + and a5, t4, t6 + ori s3, s0, -107 + srai a0, s9, 31 + sub s1, t5, s9 + srl a4, s11, gp + auipc a5, 345872 + sltu s6, s6, s5 + sll t5, a2, zero + slt t0, s7, t6 + xori s8, s5, 235 + srli s3, s9, 13 + slt t0, s7, t6 + add s10, t0, t2 + slt t0, s7, t6 + srai a0, s9, 31 + or s7, a2, s3 + sra s3, s0, a5 + slti t6, t2, -1613 + slt t0, s7, t6 + sra s3, s0, a5 + slli ra, s1, 16 + slli ra, s1, 16 + addi a7, a1, -1289 + slli ra, s1, 16 + srai a0, s9, 31 + auipc a5, 345872 + xor a4, s1, a5 + srai a0, s9, 31 + sll t5, a2, zero + andi a3, t2, 1872 + andi a3, t2, 1872 + sra s3, s0, a5 + sltu s6, s6, s5 + ori s3, s0, -107 + sll t5, a2, zero + slli ra, s1, 16 + srl a4, s11, gp + srli s3, s9, 13 + sll t5, a2, zero + xori s8, s5, 235 + slti t6, t2, -1613 + and a5, t4, t6 + or s7, a2, s3 + addi a7, a1, -1289 + sltu s6, s6, s5 + xori s8, s5, 235 + sll t5, a2, zero + xori s8, s5, 235 + sltiu zero, s2, 1133 + slli ra, s1, 16 + nop + srai a0, s9, 31 + add s10, t0, t2 + nop + sub s1, t5, s9 + auipc a5, 345872 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + sra s3, s0, a5 + sltiu zero, s2, 1133 + ori s3, s0, -107 + slli ra, s1, 16 + slli ra, s1, 16 + sra s3, s0, a5 + and a5, t4, t6 + add s10, t0, t2 + lui a6, 588415 + sltiu zero, s2, 1133 + and a5, t4, t6 + add s10, t0, t2 + sra s3, s0, a5 + srli s3, s9, 13 + srl a4, s11, gp + ori s3, s0, -107 + srl a4, s11, gp + sra s3, s0, a5 + sub s1, t5, s9 + sub s1, t5, s9 + or s7, a2, s3 + sra s3, s0, a5 + slti t6, t2, -1613 + xori s8, s5, 235 + add s10, t0, t2 + and a5, t4, t6 + andi a3, t2, 1872 + slti t6, t2, -1613 + nop + and a5, t4, t6 + lui a6, 588415 + sra s3, s0, a5 + and a5, t4, t6 + sltu s6, s6, s5 + addi a7, a1, -1289 + lui a6, 588415 + xor a4, s1, a5 + srai a0, s9, 31 + or s7, a2, s3 + xori s8, s5, 235 + srl a4, s11, gp + srli s3, s9, 13 + xori s8, s5, 235 + srli s3, s9, 13 + andi a3, t2, 1872 + auipc a5, 345872 + or s7, a2, s3 + xor a4, s1, a5 + lui a6, 588415 + xori s8, s5, 235 + andi a3, t2, 1872 + sltu s6, s6, s5 + sltu s6, s6, s5 + slli ra, s1, 16 + slli ra, s1, 16 + srl a4, s11, gp + srl a4, s11, gp + sltu s6, s6, s5 + srai a0, s9, 31 + sub s1, t5, s9 + lui a6, 588415 + srl a4, s11, gp + slli ra, s1, 16 + add s10, t0, t2 + srai a0, s9, 31 + sub s1, t5, s9 + xori s8, s5, 235 + slti t6, t2, -1613 + andi a3, t2, 1872 + srai a0, s9, 31 + sub s1, t5, s9 + ori s3, s0, -107 + add s10, t0, t2 + slti t6, t2, -1613 + sra s3, s0, a5 + slli ra, s1, 16 + lui a6, 588415 + addi a7, a1, -1289 + xori s8, s5, 235 + slt t0, s7, t6 + or s7, a2, s3 + lui a6, 588415 + sll t5, a2, zero + or s7, a2, s3 + add s10, t0, t2 + nop + and a5, t4, t6 + ori s3, s0, -107 + nop + or s7, a2, s3 + add s10, t0, t2 + add s10, t0, t2 + sltu s6, s6, s5 + srl a4, s11, gp + add s10, t0, t2 + srli s3, s9, 13 + or s7, a2, s3 + or s7, a2, s3 + slli ra, s1, 16 + xori s8, s5, 235 + addi a7, a1, -1289 + srl a4, s11, gp + slli ra, s1, 16 + or s7, a2, s3 + nop + slti t6, t2, -1613 + slt t0, s7, t6 + slti t6, t2, -1613 + nop + add s10, t0, t2 + srli s3, s9, 13 + xori s8, s5, 235 + andi a3, t2, 1872 + lui a6, 588415 + sub s1, t5, s9 + andi a3, t2, 1872 + or s7, a2, s3 + slli ra, s1, 16 + sltu s6, s6, s5 + xor a4, s1, a5 + slti t6, t2, -1613 + sra s3, s0, a5 + sub s1, t5, s9 + ori s3, s0, -107 + nop + slli ra, s1, 16 + sltu s6, s6, s5 + slli ra, s1, 16 + srl a4, s11, gp + xori s8, s5, 235 + andi a3, t2, 1872 + lui a6, 588415 + add s10, t0, t2 + sub s1, t5, s9 + andi a3, t2, 1872 + and a5, t4, t6 + xori s8, s5, 235 + srai a0, s9, 31 + sub s1, t5, s9 + or s7, a2, s3 + srai a0, s9, 31 + xor a4, s1, a5 + srai a0, s9, 31 + add s10, t0, t2 + or s7, a2, s3 + xor a4, s1, a5 + and a5, t4, t6 + srli s3, s9, 13 + and a5, t4, t6 + slt t0, s7, t6 + andi a3, t2, 1872 + nop + sltiu zero, s2, 1133 + sra s3, s0, a5 + srli s3, s9, 13 + slt t0, s7, t6 + sltiu zero, s2, 1133 + sll t5, a2, zero + srli s3, s9, 13 + xor a4, s1, a5 + sltu s6, s6, s5 + and a5, t4, t6 + sra s3, s0, a5 + xor a4, s1, a5 + andi a3, t2, 1872 + srli s3, s9, 13 + slti t6, t2, -1613 + sub s1, t5, s9 + nop + nop + and a5, t4, t6 + sltu s6, s6, s5 + sll t5, a2, zero + or s7, a2, s3 + andi a3, t2, 1872 + lui a6, 588415 + srl a4, s11, gp + sltiu zero, s2, 1133 + sll t5, a2, zero + slli ra, s1, 16 + sltu s6, s6, s5 + add s10, t0, t2 + sltu s6, s6, s5 + srl a4, s11, gp + and a5, t4, t6 + srli s3, s9, 13 + xori s8, s5, 235 + addi a7, a1, -1289 + and a5, t4, t6 + addi a7, a1, -1289 + ori s3, s0, -107 + slti t6, t2, -1613 + srli s3, s9, 13 + sub s1, t5, s9 + addi a7, a1, -1289 + or s7, a2, s3 + sltu s6, s6, s5 + srli s3, s9, 13 + srli s3, s9, 13 + srai a0, s9, 31 + add s10, t0, t2 + slt t0, s7, t6 + srli s3, s9, 13 + and a5, t4, t6 + nop + xor a4, s1, a5 + sltu s6, s6, s5 + slt t0, s7, t6 + ori s3, s0, -107 + lui a6, 588415 + sltiu zero, s2, 1133 + sub s1, t5, s9 + slt t0, s7, t6 + add s10, t0, t2 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + lui a6, 588415 + xori s8, s5, 235 + and a5, t4, t6 + add s10, t0, t2 + slti t6, t2, -1613 + sra s3, s0, a5 + nop + slti t6, t2, -1613 + or s7, a2, s3 + auipc a5, 345872 + xori s8, s5, 235 + lui a6, 588415 + xori s8, s5, 235 + srai a0, s9, 31 + slt t0, s7, t6 + slt t0, s7, t6 + addi a7, a1, -1289 + lui a6, 588415 + srai a0, s9, 31 + or s7, a2, s3 + slli ra, s1, 16 + xor a4, s1, a5 + add s10, t0, t2 + xori s8, s5, 235 + ori s3, s0, -107 + sub s1, t5, s9 + srai a0, s9, 31 + and a5, t4, t6 + srl a4, s11, gp + lui a6, 588415 + lui a6, 588415 + xor a4, s1, a5 + xor a4, s1, a5 + slli ra, s1, 16 + nop + nop + or s7, a2, s3 + or s7, a2, s3 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + sra s3, s0, a5 + xor a4, s1, a5 + andi a3, t2, 1872 + xor a4, s1, a5 + slti t6, t2, -1613 + lui a6, 588415 + addi a7, a1, -1289 + lui a6, 588415 + xori s8, s5, 235 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + auipc a5, 345872 + slti t6, t2, -1613 + srai a0, s9, 31 + sub s1, t5, s9 + nop + and a5, t4, t6 + srl a4, s11, gp + xori s8, s5, 235 + srl a4, s11, gp + sltu s6, s6, s5 + lui a6, 588415 + addi a7, a1, -1289 + xor a4, s1, a5 + andi a3, t2, 1872 + ori s3, s0, -107 + addi a7, a1, -1289 + auipc a5, 345872 + xori s8, s5, 235 + slt t0, s7, t6 + auipc a5, 345872 + srl a4, s11, gp + sll t5, a2, zero + srl a4, s11, gp + srli s3, s9, 13 + addi a7, a1, -1289 + slt t0, s7, t6 + nop + sub s1, t5, s9 + sub s1, t5, s9 + lui a6, 588415 + nop + nop + sll t5, a2, zero + and a5, t4, t6 + sra s3, s0, a5 + lui a6, 588415 + slti t6, t2, -1613 + slti t6, t2, -1613 + sra s3, s0, a5 + srai a0, s9, 31 + lui a6, 588415 + xori s8, s5, 235 + ori s3, s0, -107 + srli s3, s9, 13 + nop + sltiu zero, s2, 1133 + and a5, t4, t6 + slli ra, s1, 16 + sub s1, t5, s9 + xor a4, s1, a5 + sub s1, t5, s9 + andi a3, t2, 1872 + ori s3, s0, -107 + auipc a5, 345872 + auipc a5, 345872 + andi a3, t2, 1872 + auipc a5, 345872 + srai a0, s9, 31 + sra s3, s0, a5 + slt t0, s7, t6 + sll t5, a2, zero + srl a4, s11, gp + or s7, a2, s3 + li t2, 0xffffffff #start riscv_int_numeric_corner_stream_19 + li a4, 0x90eb3785 + li s7, 0x80000000 + li t3, 0x0 + li sp, 0x80000000 + li a2, 0x80000000 + li t6, 0xffffffff + li s5, 0xffffffff + li ra, 0xffffffff + li t5, 0x704e908c + sub t6, t2, ra + auipc t2, 345872 + nop + auipc t2, 345872 + nop + add t6, t2, s7 + add t6, t2, s7 + nop + sub t6, t2, ra + add t6, t2, s7 + nop + sub t6, t2, ra + auipc t2, 345872 + nop + lui t3, 588415 + nop + auipc t2, 345872 + auipc t2, 345872 + sub t6, t2, ra + addi ra, ra, -1289 + sub t6, t2, ra + sub t6, t2, ra + lui t3, 588415 + nop + lui t3, 588415 + addi ra, ra, -1289 #end riscv_int_numeric_corner_stream_19 + xori s8, s5, 235 + slti t6, t2, -1613 + sll t5, a2, zero + srl a4, s11, gp + sltu s6, s6, s5 + or s7, a2, s3 + andi a3, t2, 1872 + xori s8, s5, 235 + srli s3, s9, 13 + sub s1, t5, s9 + nop + slli ra, s1, 16 + xori s8, s5, 235 + sll t5, a2, zero + srai a0, s9, 31 + srai a0, s9, 31 + sltu s6, s6, s5 + nop + xori s8, s5, 235 + add s10, t0, t2 + auipc a5, 345872 + slti t6, t2, -1613 + andi a3, t2, 1872 + srl a4, s11, gp + sra s3, s0, a5 + auipc a5, 345872 + slt t0, s7, t6 + auipc a5, 345872 + slli ra, s1, 16 + srli s3, s9, 13 + xori s8, s5, 235 + slt t0, s7, t6 + auipc a5, 345872 + sub s1, t5, s9 + sub s1, t5, s9 + ori s3, s0, -107 + srl a4, s11, gp + sltu s6, s6, s5 + ori s3, s0, -107 + sll t5, a2, zero + slt t0, s7, t6 + lui a6, 588415 + srl a4, s11, gp + add s10, t0, t2 + sra s3, s0, a5 + nop + sra s3, s0, a5 + xor a4, s1, a5 + sltu s6, s6, s5 + add s10, t0, t2 + srl a4, s11, gp + or s7, a2, s3 + lui a6, 588415 + srli s3, s9, 13 + sub s1, t5, s9 + auipc a5, 345872 + add s10, t0, t2 + lui a6, 588415 + srl a4, s11, gp + slt t0, s7, t6 + sll t5, a2, zero + nop + lui a6, 588415 + srli s3, s9, 13 + sra s3, s0, a5 + slti t6, t2, -1613 + sll t5, a2, zero + sub s1, t5, s9 + slti t6, t2, -1613 + sra s3, s0, a5 + or s7, a2, s3 + xor a4, s1, a5 + addi a7, a1, -1289 + slt t0, s7, t6 + slli ra, s1, 16 + sltiu zero, s2, 1133 + add s10, t0, t2 + add s10, t0, t2 + ori s3, s0, -107 + srl a4, s11, gp + add s10, t0, t2 + sltiu zero, s2, 1133 + slli ra, s1, 16 + sra s3, s0, a5 + slti t6, t2, -1613 + xor a4, s1, a5 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + ori s3, s0, -107 + and a5, t4, t6 + srai a0, s9, 31 + xor a4, s1, a5 + sub s1, t5, s9 + andi a3, t2, 1872 + and a5, t4, t6 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + ori s3, s0, -107 + sra s3, s0, a5 + sltiu zero, s2, 1133 + slli ra, s1, 16 + ori s3, s0, -107 + sra s3, s0, a5 + sra s3, s0, a5 + slti t6, t2, -1613 + slt t0, s7, t6 + andi a3, t2, 1872 + srli s3, s9, 13 + and a5, t4, t6 + lui a6, 588415 + slli ra, s1, 16 + nop + auipc a5, 345872 + srai a0, s9, 31 + slti t6, t2, -1613 + xori s8, s5, 235 + sll t5, a2, zero + add s10, t0, t2 + srl a4, s11, gp + slli ra, s1, 16 + slli ra, s1, 16 + auipc a5, 345872 + or s7, a2, s3 + sub s1, t5, s9 + sll t5, a2, zero + ori s3, s0, -107 + xor a4, s1, a5 + addi a7, a1, -1289 + and a5, t4, t6 + lui a6, 588415 + srai a0, s9, 31 + xor a4, s1, a5 + sltu s6, s6, s5 + ori s3, s0, -107 + slti t6, t2, -1613 + nop + xor a4, s1, a5 + addi a7, a1, -1289 + add s10, t0, t2 + addi a7, a1, -1289 + slti t6, t2, -1613 + ori s3, s0, -107 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + nop + srl a4, s11, gp + srai a0, s9, 31 + auipc a5, 345872 + sll t5, a2, zero + sub s1, t5, s9 + or s7, a2, s3 + srai a0, s9, 31 + add s10, t0, t2 + nop + auipc a5, 345872 + sll t5, a2, zero + slli ra, s1, 16 + sub s1, t5, s9 + nop + nop + slli ra, s1, 16 + xori s8, s5, 235 + auipc a5, 345872 + slti t6, t2, -1613 + nop + slt t0, s7, t6 + nop + srli s3, s9, 13 + sra s3, s0, a5 + slt t0, s7, t6 + srai a0, s9, 31 + srl a4, s11, gp + xor a4, s1, a5 + add s10, t0, t2 + sll t5, a2, zero + lui a6, 588415 + srli s3, s9, 13 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + xor a4, s1, a5 + sll t5, a2, zero + auipc a5, 345872 + add s10, t0, t2 + sll t5, a2, zero + ori s3, s0, -107 + sll t5, a2, zero + sub s1, t5, s9 + sra s3, s0, a5 + addi a7, a1, -1289 + and a5, t4, t6 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + li s1, 0xffffffff #start riscv_int_numeric_corner_stream_22 + li ra, 0x80000000 + li a5, 0x0 + li a0, 0x1fe686f9 + li t2, 0x91eed5f + li s10, 0xffffffff + li t4, 0x0 + li gp, 0x63a25619 + li s6, 0x0 + li a1, 0x82348d7f + sub s10, s6, gp + auipc a5, 345872 + addi t2, a1, -1289 + addi t2, a1, -1289 + nop + lui s6, 588415 + add s6, t2, t2 + sub s10, s6, gp + add s6, t2, t2 + sub s10, s6, gp + lui s6, 588415 + lui s6, 588415 + auipc a5, 345872 + nop + sub s10, s6, gp + add s6, t2, t2 + lui s6, 588415 + auipc a5, 345872 + sub s10, s6, gp + lui s6, 588415 + sub s10, s6, gp + lui s6, 588415 + addi t2, a1, -1289 + add s6, t2, t2 + add s6, t2, t2 + add s6, t2, t2 + lui s6, 588415 + auipc a5, 345872 + sub s10, s6, gp #end riscv_int_numeric_corner_stream_22 + ori s3, s0, -107 + sub s1, t5, s9 + sltu s6, s6, s5 + ori s3, s0, -107 + srli s3, s9, 13 + sll t5, a2, zero + andi a3, t2, 1872 + auipc a5, 345872 + and a5, t4, t6 + lui a6, 588415 + slli ra, s1, 16 + addi a7, a1, -1289 + auipc a5, 345872 + slti t6, t2, -1613 + addi a7, a1, -1289 + add s10, t0, t2 + or s7, a2, s3 + slli ra, s1, 16 + srl a4, s11, gp + andi a3, t2, 1872 + srl a4, s11, gp + lui a6, 588415 + nop + slti t6, t2, -1613 + sltu s6, s6, s5 + andi a3, t2, 1872 + xori s8, s5, 235 + nop + xori s8, s5, 235 + lui a6, 588415 + srli s3, s9, 13 + lui a6, 588415 + ori s3, s0, -107 + slt t0, s7, t6 + xor a4, s1, a5 + srl a4, s11, gp + srl a4, s11, gp + add s10, t0, t2 + or s7, a2, s3 + andi a3, t2, 1872 + xor a4, s1, a5 + xor a4, s1, a5 + add s10, t0, t2 + srli s3, s9, 13 + sltiu zero, s2, 1133 + xori s8, s5, 235 + srli s3, s9, 13 + srai a0, s9, 31 + xori s8, s5, 235 + andi a3, t2, 1872 + sll t5, a2, zero + ori s3, s0, -107 + ori s3, s0, -107 + lui a6, 588415 + slt t0, s7, t6 + auipc a5, 345872 + nop + andi a3, t2, 1872 + slt t0, s7, t6 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + andi a3, t2, 1872 + srai a0, s9, 31 + sub s1, t5, s9 + sra s3, s0, a5 + andi a3, t2, 1872 + srl a4, s11, gp + ori s3, s0, -107 + slli ra, s1, 16 + auipc a5, 345872 + srai a0, s9, 31 + or s7, a2, s3 + srl a4, s11, gp + addi a7, a1, -1289 + add s10, t0, t2 + srli s3, s9, 13 + auipc a5, 345872 + add s10, t0, t2 + sltiu zero, s2, 1133 + or s7, a2, s3 + slti t6, t2, -1613 + xor a4, s1, a5 + add s10, t0, t2 + xor a4, s1, a5 + ori s3, s0, -107 + auipc a5, 345872 + lui a6, 588415 + xori s8, s5, 235 + add s10, t0, t2 + nop + xor a4, s1, a5 + nop + srai a0, s9, 31 + sub s1, t5, s9 + add s10, t0, t2 + slli ra, s1, 16 + sra s3, s0, a5 + nop + sltu s6, s6, s5 + srai a0, s9, 31 + slli ra, s1, 16 + srai a0, s9, 31 + add s10, t0, t2 + lui a6, 588415 + srl a4, s11, gp + auipc a5, 345872 + srl a4, s11, gp + sub s1, t5, s9 + srl a4, s11, gp + xori s8, s5, 235 + sll t5, a2, zero + add s10, t0, t2 + sra s3, s0, a5 + ori s3, s0, -107 + slli ra, s1, 16 + slti t6, t2, -1613 + sra s3, s0, a5 + andi a3, t2, 1872 + xor a4, s1, a5 + nop + sltu s6, s6, s5 + auipc a5, 345872 + sra s3, s0, a5 + lui a6, 588415 + sll t5, a2, zero + sltiu zero, s2, 1133 + lui a6, 588415 + slti t6, t2, -1613 + sltu s6, s6, s5 + slt t0, s7, t6 + xor a4, s1, a5 + or s7, a2, s3 + sltiu zero, s2, 1133 + slli ra, s1, 16 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + slt t0, s7, t6 + auipc a5, 345872 + srai a0, s9, 31 + addi a7, a1, -1289 + slti t6, t2, -1613 + srli s3, s9, 13 + srli s3, s9, 13 + auipc a5, 345872 + sll t5, a2, zero + and a5, t4, t6 + xor a4, s1, a5 + srai a0, s9, 31 + ori s3, s0, -107 + or s7, a2, s3 + slli ra, s1, 16 + sra s3, s0, a5 + slli ra, s1, 16 + srai a0, s9, 31 + sltiu zero, s2, 1133 + nop + addi a7, a1, -1289 + or s7, a2, s3 + sra s3, s0, a5 + xor a4, s1, a5 + auipc a5, 345872 + slti t6, t2, -1613 + xori s8, s5, 235 + xor a4, s1, a5 + lui a6, 588415 + sll t5, a2, zero + nop + slt t0, s7, t6 + auipc a5, 345872 + sub s1, t5, s9 + and a5, t4, t6 + addi a7, a1, -1289 + slli ra, s1, 16 + xor a4, s1, a5 + andi a3, t2, 1872 + sub s1, t5, s9 + sltu s6, s6, s5 + xor a4, s1, a5 + and a5, t4, t6 + sltu s6, s6, s5 + sub s1, t5, s9 + slt t0, s7, t6 + nop + srl a4, s11, gp + lui a6, 588415 + sub s1, t5, s9 + sll t5, a2, zero + or s7, a2, s3 + xori s8, s5, 235 + and a5, t4, t6 + srli s3, s9, 13 + xori s8, s5, 235 + srai a0, s9, 31 + sltiu zero, s2, 1133 + xori s8, s5, 235 + sub s1, t5, s9 + lui a6, 588415 + sll t5, a2, zero + srl a4, s11, gp + srli s3, s9, 13 + sltiu zero, s2, 1133 + slli ra, s1, 16 + addi a7, a1, -1289 + slt t0, s7, t6 + nop + slt t0, s7, t6 + srai a0, s9, 31 + sll t5, a2, zero + srli s3, s9, 13 + srl a4, s11, gp + and a5, t4, t6 + sltiu zero, s2, 1133 + lui a6, 588415 + sra s3, s0, a5 + sra s3, s0, a5 + nop + add s10, t0, t2 + add s10, t0, t2 + slli ra, s1, 16 + lui a6, 588415 + addi a7, a1, -1289 + srl a4, s11, gp + nop + xori s8, s5, 235 + auipc a5, 345872 + lui a6, 588415 + andi a3, t2, 1872 + or s7, a2, s3 + addi a7, a1, -1289 + xori s8, s5, 235 + xor a4, s1, a5 + srli s3, s9, 13 + sub s1, t5, s9 + auipc a5, 345872 + lui a6, 588415 + sub s1, t5, s9 + add s10, t0, t2 + lui a6, 588415 + sltiu zero, s2, 1133 + slt t0, s7, t6 + andi a3, t2, 1872 + and a5, t4, t6 + slti t6, t2, -1613 + sub s1, t5, s9 + xor a4, s1, a5 + sra s3, s0, a5 + xor a4, s1, a5 + auipc a5, 345872 + sll t5, a2, zero + xor a4, s1, a5 + sltu s6, s6, s5 + srai a0, s9, 31 + lui a6, 588415 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + and a5, t4, t6 + srl a4, s11, gp + addi a7, a1, -1289 + srai a0, s9, 31 + auipc a5, 345872 + srl a4, s11, gp + ori s3, s0, -107 + or s7, a2, s3 + sltu s6, s6, s5 + ori s3, s0, -107 + sub s1, t5, s9 + xori s8, s5, 235 + sltu s6, s6, s5 + sll t5, a2, zero + sltiu zero, s2, 1133 + ori s3, s0, -107 + slti t6, t2, -1613 + srli s3, s9, 13 + lui a6, 588415 + ori s3, s0, -107 + slli ra, s1, 16 + slti t6, t2, -1613 + sll t5, a2, zero + and a5, t4, t6 + andi a3, t2, 1872 + slt t0, s7, t6 + or s7, a2, s3 + sub s1, t5, s9 + xori s8, s5, 235 + xor a4, s1, a5 + slti t6, t2, -1613 + xori s8, s5, 235 + nop + lui a6, 588415 + lui a6, 588415 + srai a0, s9, 31 + lui a6, 588415 + srl a4, s11, gp + addi a7, a1, -1289 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + srli s3, s9, 13 + nop + auipc a5, 345872 + auipc a5, 345872 + sra s3, s0, a5 + srli s3, s9, 13 + sll t5, a2, zero + andi a3, t2, 1872 + xor a4, s1, a5 + slli ra, s1, 16 + add s10, t0, t2 + sub s1, t5, s9 + slti t6, t2, -1613 + addi a7, a1, -1289 + and a5, t4, t6 + addi a7, a1, -1289 + nop + add s10, t0, t2 + xori s8, s5, 235 + sltiu zero, s2, 1133 + slt t0, s7, t6 + addi a7, a1, -1289 + srai a0, s9, 31 + ori s3, s0, -107 + slti t6, t2, -1613 + addi a7, a1, -1289 + srli s3, s9, 13 + srai a0, s9, 31 + srai a0, s9, 31 + srl a4, s11, gp + sltu s6, s6, s5 + and a5, t4, t6 + add s10, t0, t2 + slt t0, s7, t6 + sub s1, t5, s9 + slti t6, t2, -1613 + and a5, t4, t6 + addi a7, a1, -1289 + xori s8, s5, 235 + srli s3, s9, 13 + add s10, t0, t2 + or s7, a2, s3 + nop + sltu s6, s6, s5 + srli s3, s9, 13 + lui a6, 588415 + sub s1, t5, s9 + nop + slt t0, s7, t6 + sra s3, s0, a5 + srai a0, s9, 31 + srl a4, s11, gp + srli s3, s9, 13 + sltu s6, s6, s5 + addi a7, a1, -1289 + sub s1, t5, s9 + sub s1, t5, s9 + xori s8, s5, 235 + xori s8, s5, 235 + xor a4, s1, a5 + slli ra, s1, 16 + add s10, t0, t2 + xor a4, s1, a5 + xor a4, s1, a5 + sub s1, t5, s9 + add s10, t0, t2 + andi a3, t2, 1872 + slti t6, t2, -1613 + sltu s6, s6, s5 + slti t6, t2, -1613 + and a5, t4, t6 + srai a0, s9, 31 + or s7, a2, s3 + srl a4, s11, gp + sll t5, a2, zero + srai a0, s9, 31 + andi a3, t2, 1872 + sltu s6, s6, s5 + sub s1, t5, s9 + auipc a5, 345872 + srai a0, s9, 31 + add s10, t0, t2 + sra s3, s0, a5 + slli ra, s1, 16 + slti t6, t2, -1613 + add s10, t0, t2 + addi a7, a1, -1289 + srai a0, s9, 31 + srli s3, s9, 13 + andi a3, t2, 1872 + lui a6, 588415 + sltu s6, s6, s5 + slli ra, s1, 16 + xori s8, s5, 235 + xori s8, s5, 235 + sra s3, s0, a5 + auipc a5, 345872 + auipc a5, 345872 + nop + sub s1, t5, s9 + srli s3, s9, 13 + srl a4, s11, gp + andi a3, t2, 1872 + sltiu zero, s2, 1133 + slt t0, s7, t6 + andi a3, t2, 1872 + srli s3, s9, 13 + or s7, a2, s3 + srl a4, s11, gp + xori s8, s5, 235 + srli s3, s9, 13 + ori s3, s0, -107 + or s7, a2, s3 + sra s3, s0, a5 + srl a4, s11, gp + slli ra, s1, 16 + sltiu zero, s2, 1133 + sub s1, t5, s9 + srl a4, s11, gp + srl a4, s11, gp + addi a7, a1, -1289 + sltu s6, s6, s5 + srli s3, s9, 13 + nop + srli s3, s9, 13 + andi a3, t2, 1872 + or s7, a2, s3 + xor a4, s1, a5 + slli ra, s1, 16 + sll t5, a2, zero + or s7, a2, s3 + andi a3, t2, 1872 + slt t0, s7, t6 + andi a3, t2, 1872 + srli s3, s9, 13 + srl a4, s11, gp + sra s3, s0, a5 + sltu s6, s6, s5 + slli ra, s1, 16 + xor a4, s1, a5 + addi a7, a1, -1289 + srl a4, s11, gp + xor a4, s1, a5 + nop + nop + sra s3, s0, a5 + and a5, t4, t6 + srai a0, s9, 31 + sltiu zero, s2, 1133 + sra s3, s0, a5 + sub s1, t5, s9 + slti t6, t2, -1613 + ori s3, s0, -107 + sltu s6, s6, s5 + sltu s6, s6, s5 + auipc a5, 345872 + ori s3, s0, -107 + sltiu zero, s2, 1133 + slti t6, t2, -1613 + and a5, t4, t6 + slt t0, s7, t6 + auipc a5, 345872 + ori s3, s0, -107 + addi a7, a1, -1289 + lui a6, 588415 + ori s3, s0, -107 + ori s3, s0, -107 + srli s3, s9, 13 + ori s3, s0, -107 + slli ra, s1, 16 + addi a7, a1, -1289 + and a5, t4, t6 + or s7, a2, s3 + ori s3, s0, -107 + xori s8, s5, 235 + sub s1, t5, s9 + sll t5, a2, zero + lui a6, 588415 + sltiu zero, s2, 1133 + or s7, a2, s3 + srl a4, s11, gp + nop + sra s3, s0, a5 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + ori s3, s0, -107 + andi a3, t2, 1872 + sra s3, s0, a5 + ori s3, s0, -107 + sltu s6, s6, s5 + auipc a5, 345872 + slti t6, t2, -1613 + slli ra, s1, 16 + sltu s6, s6, s5 + srl a4, s11, gp + sub s1, t5, s9 + sll t5, a2, zero + slti t6, t2, -1613 + ori s3, s0, -107 + and a5, t4, t6 + nop + xor a4, s1, a5 + sltu s6, s6, s5 + auipc a5, 345872 + sra s3, s0, a5 + lui a6, 588415 + slti t6, t2, -1613 + srl a4, s11, gp + sltiu zero, s2, 1133 + slt t0, s7, t6 + addi a7, a1, -1289 + xor a4, s1, a5 + slli ra, s1, 16 + ori s3, s0, -107 + andi a3, t2, 1872 + sltu s6, s6, s5 + addi a7, a1, -1289 + andi a3, t2, 1872 + add s10, t0, t2 + xor a4, s1, a5 + auipc a5, 345872 + xor a4, s1, a5 + sll t5, a2, zero + or s7, a2, s3 + xori s8, s5, 235 + lui a6, 588415 + srli s3, s9, 13 + slli ra, s1, 16 + sltu s6, s6, s5 + andi a3, t2, 1872 + sub s1, t5, s9 + nop + andi a3, t2, 1872 + sltu s6, s6, s5 + srai a0, s9, 31 + sll t5, a2, zero + add s10, t0, t2 + or s7, a2, s3 + addi a7, a1, -1289 + addi a7, a1, -1289 + addi a7, a1, -1289 + addi a7, a1, -1289 + xor a4, s1, a5 + slt t0, s7, t6 + andi a3, t2, 1872 + srai a0, s9, 31 + nop + nop + xor a4, s1, a5 + slli ra, s1, 16 + sra s3, s0, a5 + slt t0, s7, t6 + srl a4, s11, gp + add s10, t0, t2 + sub s1, t5, s9 + xori s8, s5, 235 + auipc a5, 345872 + srl a4, s11, gp + and a5, t4, t6 + addi a7, a1, -1289 + nop + addi a7, a1, -1289 + or s7, a2, s3 + andi a3, t2, 1872 + sltu s6, s6, s5 + auipc a5, 345872 + addi a7, a1, -1289 + sub s1, t5, s9 + ori s3, s0, -107 + slli ra, s1, 16 + sra s3, s0, a5 + and a5, t4, t6 + xor a4, s1, a5 + srli s3, s9, 13 + and a5, t4, t6 + auipc a5, 345872 + xor a4, s1, a5 + srl a4, s11, gp + slli ra, s1, 16 + andi a3, t2, 1872 + xor a4, s1, a5 + slti t6, t2, -1613 + lui a6, 588415 + or s7, a2, s3 + sub s1, t5, s9 + or s7, a2, s3 + lui a6, 588415 + slti t6, t2, -1613 + nop + slli ra, s1, 16 + slt t0, s7, t6 + srai a0, s9, 31 + slli ra, s1, 16 + addi a7, a1, -1289 + auipc a5, 345872 + xor a4, s1, a5 + lui a6, 588415 + and a5, t4, t6 + slti t6, t2, -1613 + and a5, t4, t6 + andi a3, t2, 1872 + addi a7, a1, -1289 + lui a6, 588415 + auipc a5, 345872 + sll t5, a2, zero + auipc a5, 345872 + sltu s6, s6, s5 + add s10, t0, t2 + ori s3, s0, -107 + xori s8, s5, 235 + sll t5, a2, zero + auipc a5, 345872 + auipc a5, 345872 + sll t5, a2, zero + srl a4, s11, gp + xor a4, s1, a5 + srl a4, s11, gp + slt t0, s7, t6 + andi a3, t2, 1872 + or s7, a2, s3 + sra s3, s0, a5 + srli s3, s9, 13 + sltu s6, s6, s5 + ori s3, s0, -107 + srai a0, s9, 31 + slt t0, s7, t6 + andi a3, t2, 1872 + slli ra, s1, 16 + or s7, a2, s3 + srai a0, s9, 31 + sra s3, s0, a5 + slti t6, t2, -1613 + lui a6, 588415 + addi a7, a1, -1289 + and a5, t4, t6 + srli s3, s9, 13 + slli ra, s1, 16 + and a5, t4, t6 + sltu s6, s6, s5 + sltu s6, s6, s5 + slti t6, t2, -1613 + auipc a5, 345872 + slli ra, s1, 16 + and a5, t4, t6 + auipc a5, 345872 + xori s8, s5, 235 + add s10, t0, t2 + ori s3, s0, -107 + slt t0, s7, t6 + or s7, a2, s3 + srai a0, s9, 31 + slti t6, t2, -1613 + or s7, a2, s3 + auipc a5, 345872 + sub s1, t5, s9 + andi a3, t2, 1872 + add s10, t0, t2 + addi a7, a1, -1289 + lui a6, 588415 + slti t6, t2, -1613 + sra s3, s0, a5 + sltiu zero, s2, 1133 + sll t5, a2, zero + or s7, a2, s3 + srli s3, s9, 13 + and a5, t4, t6 + add s10, t0, t2 + srl a4, s11, gp + sra s3, s0, a5 + auipc a5, 345872 + slt t0, s7, t6 + sra s3, s0, a5 + sub s1, t5, s9 + sll t5, a2, zero + addi a7, a1, -1289 + srli s3, s9, 13 + sll t5, a2, zero + lui a6, 588415 + slti t6, t2, -1613 + lui a6, 588415 + slti t6, t2, -1613 + sll t5, a2, zero + slli ra, s1, 16 + add s10, t0, t2 + ori s3, s0, -107 + sll t5, a2, zero + nop + nop + xor a4, s1, a5 + slti t6, t2, -1613 + auipc a5, 345872 + srai a0, s9, 31 + add s10, t0, t2 + auipc a5, 345872 + lui a6, 588415 + add s10, t0, t2 + slti t6, t2, -1613 + slli ra, s1, 16 + addi a7, a1, -1289 + srl a4, s11, gp + andi a3, t2, 1872 + srai a0, s9, 31 + and a5, t4, t6 + ori s3, s0, -107 + and a5, t4, t6 + andi a3, t2, 1872 + sltu s6, s6, s5 + andi a3, t2, 1872 + addi a7, a1, -1289 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + andi a3, t2, 1872 + lui a6, 588415 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + lui a6, 588415 + sra s3, s0, a5 + lui a6, 588415 + andi a3, t2, 1872 + sra s3, s0, a5 + auipc a5, 345872 + add s10, t0, t2 + slti t6, t2, -1613 + sra s3, s0, a5 + nop + add s10, t0, t2 + sub s1, t5, s9 + andi a3, t2, 1872 + slt t0, s7, t6 + addi a7, a1, -1289 + or s7, a2, s3 + slli ra, s1, 16 + lui a6, 588415 + xori s8, s5, 235 + ori s3, s0, -107 + sll t5, a2, zero + sub s1, t5, s9 + xori s8, s5, 235 + sll t5, a2, zero + slt t0, s7, t6 + xor a4, s1, a5 + add s10, t0, t2 + slti t6, t2, -1613 + srl a4, s11, gp + slti t6, t2, -1613 + addi a7, a1, -1289 + lui a6, 588415 + srli s3, s9, 13 + auipc a5, 345872 + sltiu zero, s2, 1133 + slt t0, s7, t6 + ori s3, s0, -107 + sll t5, a2, zero + or s7, a2, s3 + slti t6, t2, -1613 + add s10, t0, t2 + slti t6, t2, -1613 + add s10, t0, t2 + sub s1, t5, s9 + srli s3, s9, 13 + addi a7, a1, -1289 + lui a6, 588415 + sltu s6, s6, s5 + slt t0, s7, t6 + srl a4, s11, gp + sra s3, s0, a5 + sltiu zero, s2, 1133 + srli s3, s9, 13 + xori s8, s5, 235 + slt t0, s7, t6 + sltiu zero, s2, 1133 + sra s3, s0, a5 + lui a6, 588415 + nop + nop + sll t5, a2, zero + auipc a5, 345872 + ori s3, s0, -107 + auipc a5, 345872 + sra s3, s0, a5 + nop + and a5, t4, t6 + auipc a5, 345872 + ori s3, s0, -107 + add s10, t0, t2 + andi a3, t2, 1872 + srai a0, s9, 31 + auipc a5, 345872 + or s7, a2, s3 + slt t0, s7, t6 + slti t6, t2, -1613 + slti t6, t2, -1613 + addi a7, a1, -1289 + sra s3, s0, a5 + srli s3, s9, 13 + xor a4, s1, a5 + sltu s6, s6, s5 + srli s3, s9, 13 + sltu s6, s6, s5 + slti t6, t2, -1613 + ori s3, s0, -107 + nop + slti t6, t2, -1613 + srl a4, s11, gp + andi a3, t2, 1872 + andi a3, t2, 1872 + sll t5, a2, zero + lui a6, 588415 + lui a6, 588415 + sra s3, s0, a5 + srl a4, s11, gp + or s7, a2, s3 + sltu s6, s6, s5 + srl a4, s11, gp + nop + sll t5, a2, zero + sra s3, s0, a5 + and a5, t4, t6 + sll t5, a2, zero + sltiu zero, s2, 1133 + slt t0, s7, t6 + sub s1, t5, s9 + sra s3, s0, a5 + srli s3, s9, 13 + sub s1, t5, s9 + and a5, t4, t6 + sra s3, s0, a5 + add s10, t0, t2 + xori s8, s5, 235 + slt t0, s7, t6 + slt t0, s7, t6 + sub s1, t5, s9 + lui a6, 588415 + xori s8, s5, 235 + sub s1, t5, s9 + add s10, t0, t2 + lui a6, 588415 + ori s3, s0, -107 + srai a0, s9, 31 + ori s3, s0, -107 + slli ra, s1, 16 + slti t6, t2, -1613 + ori s3, s0, -107 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + or s7, a2, s3 + srl a4, s11, gp + slti t6, t2, -1613 + nop + sltu s6, s6, s5 + srl a4, s11, gp + slti t6, t2, -1613 + add s10, t0, t2 + sltu s6, s6, s5 + addi a7, a1, -1289 + and a5, t4, t6 + auipc a5, 345872 + andi a3, t2, 1872 + srl a4, s11, gp + sltu s6, s6, s5 + ori s3, s0, -107 + ori s3, s0, -107 + slli ra, s1, 16 + ori s3, s0, -107 + addi a7, a1, -1289 + xori s8, s5, 235 + and a5, t4, t6 + andi a3, t2, 1872 + addi a7, a1, -1289 + or s7, a2, s3 + sltu s6, s6, s5 + addi a7, a1, -1289 + sll t5, a2, zero + ori s3, s0, -107 + slli ra, s1, 16 + sra s3, s0, a5 + add s10, t0, t2 + add s10, t0, t2 + slli ra, s1, 16 + and a5, t4, t6 + slti t6, t2, -1613 + slli ra, s1, 16 + andi a3, t2, 1872 + sltu s6, s6, s5 + and a5, t4, t6 + srl a4, s11, gp + sll t5, a2, zero + sltiu zero, s2, 1133 + sll t5, a2, zero + sll t5, a2, zero + li s8, 0xcc0366ab #start riscv_int_numeric_corner_stream_14 + li s11, 0xffffffff + li s9, 0xffffffff + li s3, 0x80000000 + li ra, 0x80000000 + li a6, 0x0 + li s2, 0x0 + li t3, 0x80000000 + li s1, 0x0 + li a5, 0x80000000 + sub s3, t3, s9 + lui a6, 588415 + lui a6, 588415 + nop + sub s3, t3, s9 + nop + auipc a5, 345872 + auipc a5, 345872 + sub s3, t3, s9 + addi t3, s11, -1289 + nop + sub s3, t3, s9 + lui a6, 588415 + auipc a5, 345872 + lui a6, 588415 + lui a6, 588415 + addi t3, s11, -1289 + add s3, s11, s3 #end riscv_int_numeric_corner_stream_14 + add s10, t0, t2 + slti t6, t2, -1613 + and a5, t4, t6 + and a5, t4, t6 + slt t0, s7, t6 + srai a0, s9, 31 + and a5, t4, t6 + sltu s6, s6, s5 + xor a4, s1, a5 + nop + nop + ori s3, s0, -107 + sltiu zero, s2, 1133 + or s7, a2, s3 + nop + xori s8, s5, 235 + slti t6, t2, -1613 + slt t0, s7, t6 + slli ra, s1, 16 + or s7, a2, s3 + add s10, t0, t2 + xor a4, s1, a5 + andi a3, t2, 1872 + slt t0, s7, t6 + sll t5, a2, zero + sra s3, s0, a5 + andi a3, t2, 1872 + xori s8, s5, 235 + slt t0, s7, t6 + sltu s6, s6, s5 + slli ra, s1, 16 + srli s3, s9, 13 + slt t0, s7, t6 + andi a3, t2, 1872 + slli ra, s1, 16 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + slt t0, s7, t6 + add s10, t0, t2 + xori s8, s5, 235 + addi a7, a1, -1289 + xori s8, s5, 235 + sra s3, s0, a5 + xor a4, s1, a5 + sltiu zero, s2, 1133 + and a5, t4, t6 + nop + auipc a5, 345872 + sltiu zero, s2, 1133 + and a5, t4, t6 + xori s8, s5, 235 + srli s3, s9, 13 + slli ra, s1, 16 + srl a4, s11, gp + sub s1, t5, s9 + slti t6, t2, -1613 + srl a4, s11, gp + srl a4, s11, gp + add s10, t0, t2 + sll t5, a2, zero + slti t6, t2, -1613 + srli s3, s9, 13 + add s10, t0, t2 + slli ra, s1, 16 + auipc a5, 345872 + sll t5, a2, zero + or s7, a2, s3 + addi a7, a1, -1289 + xor a4, s1, a5 + slli ra, s1, 16 + sltiu zero, s2, 1133 + srli s3, s9, 13 + srai a0, s9, 31 + andi a3, t2, 1872 + sra s3, s0, a5 + srli s3, s9, 13 + sltiu zero, s2, 1133 + and a5, t4, t6 + sltiu zero, s2, 1133 + slt t0, s7, t6 + addi a7, a1, -1289 + sll t5, a2, zero + sra s3, s0, a5 + auipc a5, 345872 + sltu s6, s6, s5 + add s10, t0, t2 + slti t6, t2, -1613 + ori s3, s0, -107 + srl a4, s11, gp + srli s3, s9, 13 + andi a3, t2, 1872 + andi a3, t2, 1872 + sltu s6, s6, s5 + sll t5, a2, zero + srli s3, s9, 13 + sra s3, s0, a5 + srli s3, s9, 13 + xor a4, s1, a5 + or s7, a2, s3 + ori s3, s0, -107 + and a5, t4, t6 + add s10, t0, t2 + slti t6, t2, -1613 + srai a0, s9, 31 + sltu s6, s6, s5 + xor a4, s1, a5 + add s10, t0, t2 + sra s3, s0, a5 + auipc a5, 345872 + slti t6, t2, -1613 + ori s3, s0, -107 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + or s7, a2, s3 + slt t0, s7, t6 + lui a6, 588415 + srai a0, s9, 31 + slti t6, t2, -1613 + xor a4, s1, a5 + auipc a5, 345872 + lui a6, 588415 + sltiu zero, s2, 1133 + srli s3, s9, 13 + slt t0, s7, t6 + lui a6, 588415 + ori s3, s0, -107 + srai a0, s9, 31 + or s7, a2, s3 + lui a6, 588415 + ori s3, s0, -107 + ori s3, s0, -107 + add s10, t0, t2 + lui a6, 588415 + srli s3, s9, 13 + and a5, t4, t6 + ori s3, s0, -107 + xor a4, s1, a5 + srl a4, s11, gp + sltiu zero, s2, 1133 + addi a7, a1, -1289 + sra s3, s0, a5 + slli ra, s1, 16 + sltu s6, s6, s5 + srai a0, s9, 31 + slt t0, s7, t6 + srl a4, s11, gp + sltiu zero, s2, 1133 + auipc a5, 345872 + sltiu zero, s2, 1133 + xori s8, s5, 235 + srl a4, s11, gp + srai a0, s9, 31 + xor a4, s1, a5 + ori s3, s0, -107 + sub s1, t5, s9 + and a5, t4, t6 + srli s3, s9, 13 + nop + xori s8, s5, 235 + sltiu zero, s2, 1133 + slli ra, s1, 16 + addi a7, a1, -1289 + xori s8, s5, 235 + sltiu zero, s2, 1133 + sub s1, t5, s9 + add s10, t0, t2 + sll t5, a2, zero + and a5, t4, t6 + srl a4, s11, gp + slt t0, s7, t6 + and a5, t4, t6 + ori s3, s0, -107 + ori s3, s0, -107 + sub s1, t5, s9 + ori s3, s0, -107 + andi a3, t2, 1872 + sll t5, a2, zero + sltiu zero, s2, 1133 + slli ra, s1, 16 + or s7, a2, s3 + sltu s6, s6, s5 + sltu s6, s6, s5 + sltu s6, s6, s5 + srai a0, s9, 31 + sll t5, a2, zero + or s7, a2, s3 + lui a6, 588415 + slti t6, t2, -1613 + sub s1, t5, s9 + sra s3, s0, a5 + add s10, t0, t2 + ori s3, s0, -107 + srl a4, s11, gp + slli ra, s1, 16 + andi a3, t2, 1872 + lui a6, 588415 + andi a3, t2, 1872 + or s7, a2, s3 + sltiu zero, s2, 1133 + add s10, t0, t2 + slli ra, s1, 16 + sll t5, a2, zero + xor a4, s1, a5 + add s10, t0, t2 + addi a7, a1, -1289 + ori s3, s0, -107 + addi a7, a1, -1289 + or s7, a2, s3 + sra s3, s0, a5 + or s7, a2, s3 + slt t0, s7, t6 + sltiu zero, s2, 1133 + xor a4, s1, a5 + lui a6, 588415 + srli s3, s9, 13 + andi a3, t2, 1872 + xor a4, s1, a5 + add s10, t0, t2 + xor a4, s1, a5 + xor a4, s1, a5 + auipc a5, 345872 + xor a4, s1, a5 + nop + addi a7, a1, -1289 + nop + addi a7, a1, -1289 + sll t5, a2, zero + lui a6, 588415 + slt t0, s7, t6 + addi a7, a1, -1289 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + srai a0, s9, 31 + slt t0, s7, t6 + srl a4, s11, gp + ori s3, s0, -107 + nop + slti t6, t2, -1613 + srl a4, s11, gp + xor a4, s1, a5 + addi a7, a1, -1289 + lui a6, 588415 + xor a4, s1, a5 + xor a4, s1, a5 + xori s8, s5, 235 + addi a7, a1, -1289 + srli s3, s9, 13 + slli ra, s1, 16 + xori s8, s5, 235 + lui a6, 588415 + xori s8, s5, 235 + slli ra, s1, 16 + auipc a5, 345872 + slli ra, s1, 16 + sll t5, a2, zero + sra s3, s0, a5 + slti t6, t2, -1613 + addi a7, a1, -1289 + lui a6, 588415 + sra s3, s0, a5 + ori s3, s0, -107 + sub s1, t5, s9 + and a5, t4, t6 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + and a5, t4, t6 + xori s8, s5, 235 + and a5, t4, t6 + auipc a5, 345872 + sll t5, a2, zero + sltu s6, s6, s5 + addi a7, a1, -1289 + andi a3, t2, 1872 + andi a3, t2, 1872 + sra s3, s0, a5 + sll t5, a2, zero + xor a4, s1, a5 + slt t0, s7, t6 + and a5, t4, t6 + slt t0, s7, t6 + xori s8, s5, 235 + ori s3, s0, -107 + auipc a5, 345872 + ori s3, s0, -107 + auipc a5, 345872 + addi a7, a1, -1289 + or s7, a2, s3 + add s10, t0, t2 + sub s1, t5, s9 + xori s8, s5, 235 + srl a4, s11, gp + slli ra, s1, 16 + slti t6, t2, -1613 + auipc a5, 345872 + sll t5, a2, zero + slt t0, s7, t6 + sra s3, s0, a5 + addi a7, a1, -1289 + xor a4, s1, a5 + srli s3, s9, 13 + add s10, t0, t2 + lui a6, 588415 + ori s3, s0, -107 + srli s3, s9, 13 + srli s3, s9, 13 + sltu s6, s6, s5 + lui a6, 588415 + srli s3, s9, 13 + slti t6, t2, -1613 + lui a6, 588415 + sll t5, a2, zero + sltu s6, s6, s5 + and a5, t4, t6 + sra s3, s0, a5 + srli s3, s9, 13 + auipc a5, 345872 + xor a4, s1, a5 + sltiu zero, s2, 1133 + srli s3, s9, 13 + sltiu zero, s2, 1133 + lui a6, 588415 + srai a0, s9, 31 + xor a4, s1, a5 + xori s8, s5, 235 + andi a3, t2, 1872 + xori s8, s5, 235 + sra s3, s0, a5 + addi a7, a1, -1289 + slli ra, s1, 16 + srai a0, s9, 31 + srli s3, s9, 13 + lui a6, 588415 + sltiu zero, s2, 1133 + or s7, a2, s3 + srl a4, s11, gp + or s7, a2, s3 + sll t5, a2, zero + ori s3, s0, -107 + xori s8, s5, 235 + sub s1, t5, s9 + ori s3, s0, -107 + slli ra, s1, 16 + or s7, a2, s3 + srl a4, s11, gp + andi a3, t2, 1872 + xori s8, s5, 235 + ori s3, s0, -107 + andi a3, t2, 1872 + ori s3, s0, -107 + srli s3, s9, 13 + srli s3, s9, 13 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + sra s3, s0, a5 + addi a7, a1, -1289 + slli ra, s1, 16 + srai a0, s9, 31 + sltiu zero, s2, 1133 + slt t0, s7, t6 + sra s3, s0, a5 + sub s1, t5, s9 + andi a3, t2, 1872 + slt t0, s7, t6 + andi a3, t2, 1872 + sltiu zero, s2, 1133 + sll t5, a2, zero + sub s1, t5, s9 + sra s3, s0, a5 + sltu s6, s6, s5 + add s10, t0, t2 + auipc a5, 345872 + ori s3, s0, -107 + andi a3, t2, 1872 + ori s3, s0, -107 + srli s3, s9, 13 + slt t0, s7, t6 + srai a0, s9, 31 + ori s3, s0, -107 + slli ra, s1, 16 + slt t0, s7, t6 + auipc a5, 345872 + or s7, a2, s3 + andi a3, t2, 1872 + lui a6, 588415 + slli ra, s1, 16 + slt t0, s7, t6 + slt t0, s7, t6 + xor a4, s1, a5 + sll t5, a2, zero + nop + sub s1, t5, s9 + andi a3, t2, 1872 + ori s3, s0, -107 + srli s3, s9, 13 + slti t6, t2, -1613 + srai a0, s9, 31 + sltu s6, s6, s5 + ori s3, s0, -107 + andi a3, t2, 1872 + or s7, a2, s3 + or s7, a2, s3 + slt t0, s7, t6 + sltiu zero, s2, 1133 + or s7, a2, s3 + lui a6, 588415 + slti t6, t2, -1613 + nop + sltu s6, s6, s5 + auipc a5, 345872 + xor a4, s1, a5 + auipc a5, 345872 + xori s8, s5, 235 + slti t6, t2, -1613 + sra s3, s0, a5 + sra s3, s0, a5 + xori s8, s5, 235 + or s7, a2, s3 + and a5, t4, t6 + sll t5, a2, zero + sll t5, a2, zero + andi a3, t2, 1872 + sltiu zero, s2, 1133 + ori s3, s0, -107 + lui a6, 588415 + slti t6, t2, -1613 + slt t0, s7, t6 + slti t6, t2, -1613 + srl a4, s11, gp + sll t5, a2, zero + srli s3, s9, 13 + addi a7, a1, -1289 + srai a0, s9, 31 + sll t5, a2, zero + slli ra, s1, 16 + andi a3, t2, 1872 + andi a3, t2, 1872 + srl a4, s11, gp + lui a6, 588415 + sll t5, a2, zero + xori s8, s5, 235 + or s7, a2, s3 + lui a6, 588415 + andi a3, t2, 1872 + sltu s6, s6, s5 + slti t6, t2, -1613 + slti t6, t2, -1613 + slli ra, s1, 16 + slt t0, s7, t6 + xor a4, s1, a5 + and a5, t4, t6 + srli s3, s9, 13 + addi a7, a1, -1289 + sltiu zero, s2, 1133 + xor a4, s1, a5 + xor a4, s1, a5 + sra s3, s0, a5 + sltiu zero, s2, 1133 + auipc a5, 345872 + auipc a5, 345872 + sll t5, a2, zero + sltu s6, s6, s5 + slli ra, s1, 16 + nop + ori s3, s0, -107 + nop + sll t5, a2, zero + or s7, a2, s3 + srai a0, s9, 31 + sub s1, t5, s9 + andi a3, t2, 1872 + sub s1, t5, s9 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + srl a4, s11, gp + sra s3, s0, a5 + xor a4, s1, a5 + sra s3, s0, a5 + sub s1, t5, s9 + and a5, t4, t6 + sub s1, t5, s9 + srl a4, s11, gp + srai a0, s9, 31 + or s7, a2, s3 + nop + lui a6, 588415 + sll t5, a2, zero + lui a6, 588415 + or s7, a2, s3 + xori s8, s5, 235 + add s10, t0, t2 + ori s3, s0, -107 + sub s1, t5, s9 + sltu s6, s6, s5 + addi a7, a1, -1289 + srai a0, s9, 31 + sll t5, a2, zero + addi a7, a1, -1289 + add s10, t0, t2 + auipc a5, 345872 + or s7, a2, s3 + or s7, a2, s3 + and a5, t4, t6 + xor a4, s1, a5 + andi a3, t2, 1872 + sll t5, a2, zero + ori s3, s0, -107 + srl a4, s11, gp + sra s3, s0, a5 + add s10, t0, t2 + add s10, t0, t2 + lui a6, 588415 + or s7, a2, s3 + slt t0, s7, t6 + or s7, a2, s3 + slti t6, t2, -1613 + or s7, a2, s3 + srl a4, s11, gp + srai a0, s9, 31 + srli s3, s9, 13 + sra s3, s0, a5 + addi a7, a1, -1289 + nop + auipc a5, 345872 + nop + nop + srl a4, s11, gp + sltu s6, s6, s5 + lui a6, 588415 + or s7, a2, s3 + sub s1, t5, s9 + sll t5, a2, zero + sra s3, s0, a5 + srli s3, s9, 13 + sltu s6, s6, s5 + srl a4, s11, gp + lui a6, 588415 + nop + srai a0, s9, 31 + sltu s6, s6, s5 + auipc a5, 345872 + add s10, t0, t2 + xori s8, s5, 235 + and a5, t4, t6 + auipc a5, 345872 + auipc a5, 345872 + slli ra, s1, 16 + lui a6, 588415 + sltu s6, s6, s5 + srl a4, s11, gp + slli ra, s1, 16 + ori s3, s0, -107 + slti t6, t2, -1613 + sra s3, s0, a5 + lui a6, 588415 + xor a4, s1, a5 + slti t6, t2, -1613 + srli s3, s9, 13 + lui a6, 588415 + ori s3, s0, -107 + nop + srli s3, s9, 13 + add s10, t0, t2 + xor a4, s1, a5 + srl a4, s11, gp + sltiu zero, s2, 1133 + xori s8, s5, 235 + and a5, t4, t6 + srl a4, s11, gp + srli s3, s9, 13 + add s10, t0, t2 + sltu s6, s6, s5 + or s7, a2, s3 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + addi a7, a1, -1289 + sra s3, s0, a5 + add s10, t0, t2 + and a5, t4, t6 + sll t5, a2, zero + andi a3, t2, 1872 + slti t6, t2, -1613 + ori s3, s0, -107 + sll t5, a2, zero + slli ra, s1, 16 + xor a4, s1, a5 + lui a6, 588415 + slti t6, t2, -1613 + sltiu zero, s2, 1133 + slli ra, s1, 16 + addi a7, a1, -1289 + slti t6, t2, -1613 + slli ra, s1, 16 + auipc a5, 345872 + and a5, t4, t6 + sll t5, a2, zero + sltu s6, s6, s5 + xor a4, s1, a5 + or s7, a2, s3 + sltiu zero, s2, 1133 + lui a6, 588415 + ori s3, s0, -107 + xor a4, s1, a5 + sub s1, t5, s9 + slt t0, s7, t6 + xori s8, s5, 235 + sltiu zero, s2, 1133 + slt t0, s7, t6 + andi a3, t2, 1872 + sub s1, t5, s9 + sub s1, t5, s9 + or s7, a2, s3 + or s7, a2, s3 + sub s1, t5, s9 + xori s8, s5, 235 + sra s3, s0, a5 + srli s3, s9, 13 + add s10, t0, t2 + addi a7, a1, -1289 + srl a4, s11, gp + slti t6, t2, -1613 + slti t6, t2, -1613 + sra s3, s0, a5 + auipc a5, 345872 + srl a4, s11, gp + andi a3, t2, 1872 + sll t5, a2, zero + and a5, t4, t6 + sltiu zero, s2, 1133 + slli ra, s1, 16 + sub s1, t5, s9 + srli s3, s9, 13 + and a5, t4, t6 + sltiu zero, s2, 1133 + or s7, a2, s3 + sll t5, a2, zero + slti t6, t2, -1613 + or s7, a2, s3 + srai a0, s9, 31 + sltu s6, s6, s5 + ori s3, s0, -107 + andi a3, t2, 1872 + sra s3, s0, a5 + srli s3, s9, 13 + srai a0, s9, 31 + andi a3, t2, 1872 + xori s8, s5, 235 + slti t6, t2, -1613 + and a5, t4, t6 + srl a4, s11, gp + slt t0, s7, t6 + slt t0, s7, t6 + lui a6, 588415 + or s7, a2, s3 + srl a4, s11, gp + ori s3, s0, -107 + sltiu zero, s2, 1133 + srl a4, s11, gp + sll t5, a2, zero + slti t6, t2, -1613 + srai a0, s9, 31 + srl a4, s11, gp + and a5, t4, t6 + sltu s6, s6, s5 + andi a3, t2, 1872 + slt t0, s7, t6 + nop + ori s3, s0, -107 + slli ra, s1, 16 + and a5, t4, t6 + srli s3, s9, 13 + srli s3, s9, 13 + andi a3, t2, 1872 + or s7, a2, s3 + li t6, 0x6aa69050 #start riscv_int_numeric_corner_stream_32 + li s6, 0xfcb4b89c + li t2, 0xb5948a36 + li a1, 0x4cda56f6 + li s11, 0xb723da5c + li a6, 0x76810a0c + li s2, 0xd7e99ea1 + li a2, 0x9126b483 + li t0, 0xffffffff + li s9, 0x0 + add s9, s11, t2 + add s9, s11, t2 + addi a6, a1, -1289 + addi a6, a1, -1289 + auipc a1, 345872 + sub s9, t6, s9 + add s9, s11, t2 + sub s9, t6, s9 + add s9, s11, t2 + lui a6, 588415 + addi a6, a1, -1289 + lui a6, 588415 + nop + lui a6, 588415 + auipc a1, 345872 + sub s9, t6, s9 + addi a6, a1, -1289 + lui a6, 588415 + auipc a1, 345872 + nop + auipc a1, 345872 + lui a6, 588415 #end riscv_int_numeric_corner_stream_32 + xori s8, s5, 235 + or s7, a2, s3 + add s10, t0, t2 + add s10, t0, t2 + srl a4, s11, gp + srai a0, s9, 31 + sll t5, a2, zero + ori s3, s0, -107 + auipc a5, 345872 + addi a7, a1, -1289 + ori s3, s0, -107 + sll t5, a2, zero + sltiu zero, s2, 1133 + ori s3, s0, -107 + srai a0, s9, 31 + xor a4, s1, a5 + sll t5, a2, zero + auipc a5, 345872 + auipc a5, 345872 + sub s1, t5, s9 + lui a6, 588415 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + srl a4, s11, gp + lui a6, 588415 + li t2, 0xf7ad4e84 #start riscv_int_numeric_corner_stream_1 + li s8, 0xe150efb9 + li s3, 0xffffffff + li a0, 0xa842cf9b + li a7, 0x80000000 + li s7, 0xffffffff + li a5, 0xffffffff + li a4, 0x0 + li s5, 0xf63db53b + li s1, 0x0 + sub s8, s8, s1 + addi a0, s3, -1289 + addi a0, s3, -1289 + addi a0, s3, -1289 + sub s8, s8, s1 + add s7, t2, s7 + sub s8, s8, s1 + sub s8, s8, s1 + auipc a5, 345872 + nop + addi a0, s3, -1289 + lui a7, 588415 + sub s8, s8, s1 + lui a7, 588415 + addi a0, s3, -1289 + nop + addi a0, s3, -1289 #end riscv_int_numeric_corner_stream_1 + sltiu zero, s2, 1133 + sltu s6, s6, s5 + ori s3, s0, -107 + addi a7, a1, -1289 + xori s8, s5, 235 + sra s3, s0, a5 + slt t0, s7, t6 + and a5, t4, t6 + sll t5, a2, zero + lui a6, 588415 + ori s3, s0, -107 + nop + slli ra, s1, 16 + xori s8, s5, 235 + srl a4, s11, gp + sltu s6, s6, s5 + xori s8, s5, 235 + lui a6, 588415 + slti t6, t2, -1613 + and a5, t4, t6 + srl a4, s11, gp + srai a0, s9, 31 + auipc a5, 345872 + srl a4, s11, gp + sra s3, s0, a5 + slt t0, s7, t6 + slt t0, s7, t6 + xor a4, s1, a5 + and a5, t4, t6 + lui a6, 588415 + xor a4, s1, a5 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + or s7, a2, s3 + addi a7, a1, -1289 + xori s8, s5, 235 + add s10, t0, t2 + sra s3, s0, a5 + sub s1, t5, s9 + sub s1, t5, s9 + lui a6, 588415 + or s7, a2, s3 + sub s1, t5, s9 + addi a7, a1, -1289 + slti t6, t2, -1613 + sra s3, s0, a5 + sub s1, t5, s9 + slli ra, s1, 16 + srai a0, s9, 31 + addi a7, a1, -1289 + andi a3, t2, 1872 + slti t6, t2, -1613 + and a5, t4, t6 + sra s3, s0, a5 + auipc a5, 345872 + slti t6, t2, -1613 + slli ra, s1, 16 + sll t5, a2, zero + andi a3, t2, 1872 + sltu s6, s6, s5 + sltu s6, s6, s5 + xori s8, s5, 235 + sltiu zero, s2, 1133 + lui a6, 588415 + xori s8, s5, 235 + auipc a5, 345872 + ori s3, s0, -107 + or s7, a2, s3 + sll t5, a2, zero + addi a7, a1, -1289 + sll t5, a2, zero + xori s8, s5, 235 + auipc a5, 345872 + or s7, a2, s3 + slli ra, s1, 16 + and a5, t4, t6 + add s10, t0, t2 + sltiu zero, s2, 1133 + sub s1, t5, s9 + ori s3, s0, -107 + slt t0, s7, t6 + srai a0, s9, 31 + lui a6, 588415 + sub s1, t5, s9 + nop + sll t5, a2, zero + sltu s6, s6, s5 + ori s3, s0, -107 + srl a4, s11, gp + slli ra, s1, 16 + auipc a5, 345872 + slli ra, s1, 16 + slti t6, t2, -1613 + sub s1, t5, s9 + add s10, t0, t2 + sll t5, a2, zero + addi a7, a1, -1289 + andi a3, t2, 1872 + slti t6, t2, -1613 + srl a4, s11, gp + sltiu zero, s2, 1133 + and a5, t4, t6 + addi a7, a1, -1289 + or s7, a2, s3 + sll t5, a2, zero + srai a0, s9, 31 + slli ra, s1, 16 + ori s3, s0, -107 + srl a4, s11, gp + slti t6, t2, -1613 + xori s8, s5, 235 + or s7, a2, s3 + andi a3, t2, 1872 + sub s1, t5, s9 + andi a3, t2, 1872 + sltu s6, s6, s5 + andi a3, t2, 1872 + xori s8, s5, 235 + sltu s6, s6, s5 + srl a4, s11, gp + sll t5, a2, zero + auipc a5, 345872 + sll t5, a2, zero + and a5, t4, t6 + slt t0, s7, t6 + xori s8, s5, 235 + srai a0, s9, 31 + srl a4, s11, gp + add s10, t0, t2 + nop + sll t5, a2, zero + add s10, t0, t2 + srli s3, s9, 13 + auipc a5, 345872 + lui a6, 588415 + auipc a5, 345872 + sltiu zero, s2, 1133 + xori s8, s5, 235 + xor a4, s1, a5 + add s10, t0, t2 + slti t6, t2, -1613 + srl a4, s11, gp + sub s1, t5, s9 + sltiu zero, s2, 1133 + add s10, t0, t2 + ori s3, s0, -107 + slt t0, s7, t6 + and a5, t4, t6 + xor a4, s1, a5 + xori s8, s5, 235 + srai a0, s9, 31 + sltu s6, s6, s5 + ori s3, s0, -107 + srai a0, s9, 31 + sltu s6, s6, s5 + xori s8, s5, 235 + srl a4, s11, gp + ori s3, s0, -107 + add s10, t0, t2 + or s7, a2, s3 + ori s3, s0, -107 + sltu s6, s6, s5 + sltiu zero, s2, 1133 + and a5, t4, t6 + add s10, t0, t2 + srli s3, s9, 13 + srl a4, s11, gp + andi a3, t2, 1872 + andi a3, t2, 1872 + srl a4, s11, gp + xori s8, s5, 235 + slti t6, t2, -1613 + slt t0, s7, t6 + auipc a5, 345872 + xor a4, s1, a5 + and a5, t4, t6 + xor a4, s1, a5 + xor a4, s1, a5 + and a5, t4, t6 + srli s3, s9, 13 + srai a0, s9, 31 + lui a6, 588415 + slt t0, s7, t6 + lui a6, 588415 + sltiu zero, s2, 1133 + li a3, 0x80000000 #start riscv_int_numeric_corner_stream_18 + li a2, 0x1b42df95 + li t3, 0x55e8e63 + li sp, 0x0 + li a7, 0x80000000 + li s11, 0xe728df59 + li a4, 0xe9843b65 + li t5, 0x0 + li s8, 0x0 + li t2, 0xffffffff + sub a7, t5, s11 + nop + nop + lui a7, 588415 + addi sp, s11, -1289 + add t3, s11, t2 + lui a7, 588415 + add t3, s11, t2 + add t3, s11, t2 + add t3, s11, t2 + auipc t2, 345872 + auipc t2, 345872 + nop + addi sp, s11, -1289 + add t3, s11, t2 #end riscv_int_numeric_corner_stream_18 + xor a4, s1, a5 + srl a4, s11, gp + sra s3, s0, a5 + slt t0, s7, t6 + or s7, a2, s3 + and a5, t4, t6 + slt t0, s7, t6 + or s7, a2, s3 + sltu s6, s6, s5 + sub s1, t5, s9 + srl a4, s11, gp + sll t5, a2, zero + xori s8, s5, 235 + nop + sub s1, t5, s9 + ori s3, s0, -107 + lui a6, 588415 + slt t0, s7, t6 + ori s3, s0, -107 + nop + srli s3, s9, 13 + srai a0, s9, 31 + sltiu zero, s2, 1133 + sltiu zero, s2, 1133 + srai a0, s9, 31 + sra s3, s0, a5 + sll t5, a2, zero + add s10, t0, t2 + sltiu zero, s2, 1133 + sub s1, t5, s9 + auipc a5, 345872 + srai a0, s9, 31 + addi a7, a1, -1289 + li t2, 0xffffffff #start riscv_int_numeric_corner_stream_4 + li t0, 0x0 + li t1, 0xca45682f + li s9, 0x0 + li s11, 0x80000000 + li a2, 0xaad2a389 + li s8, 0x80000000 + li a3, 0xffffffff + li a4, 0xb91fba8f + li a5, 0xffffffff + add s11, s11, t2 + sub s11, s8, s11 + addi s8, s11, -1289 + sub s11, s8, s11 + lui s8, 588415 + add s11, s11, t2 + nop + addi s8, s11, -1289 + addi s8, s11, -1289 + sub s11, s8, s11 + auipc a5, 345872 + auipc a5, 345872 + sub s11, s8, s11 + nop + addi s8, s11, -1289 + add s11, s11, t2 + auipc a5, 345872 + addi s8, s11, -1289 + add s11, s11, t2 + nop + nop + sub s11, s8, s11 + lui s8, 588415 + addi s8, s11, -1289 + add s11, s11, t2 + auipc a5, 345872 + nop + auipc a5, 345872 #end riscv_int_numeric_corner_stream_4 + sra s3, s0, a5 + auipc a5, 345872 + andi a3, t2, 1872 + srai a0, s9, 31 + xor a4, s1, a5 + sltiu zero, s2, 1133 + sra s3, s0, a5 + auipc a5, 345872 + sub s1, t5, s9 + sub s1, t5, s9 + sll t5, a2, zero + sub s1, t5, s9 + slli ra, s1, 16 + addi a7, a1, -1289 + xor a4, s1, a5 + or s7, a2, s3 + sltiu zero, s2, 1133 + sub s1, t5, s9 + nop + srai a0, s9, 31 + lui a6, 588415 + srl a4, s11, gp + slli ra, s1, 16 + or s7, a2, s3 + slli ra, s1, 16 + lui a6, 588415 + slt t0, s7, t6 + srl a4, s11, gp + lui a6, 588415 + slt t0, s7, t6 + lui a6, 588415 + sll t5, a2, zero + sltiu zero, s2, 1133 + slti t6, t2, -1613 + srli s3, s9, 13 + sra s3, s0, a5 + lui a6, 588415 + slt t0, s7, t6 + sub s1, t5, s9 + slli ra, s1, 16 + xori s8, s5, 235 + xor a4, s1, a5 + sltu s6, s6, s5 + srli s3, s9, 13 + and a5, t4, t6 + xor a4, s1, a5 + or s7, a2, s3 + xori s8, s5, 235 + or s7, a2, s3 + nop + andi a3, t2, 1872 + xor a4, s1, a5 + andi a3, t2, 1872 + slti t6, t2, -1613 + srl a4, s11, gp + auipc a5, 345872 + nop + xor a4, s1, a5 + addi a7, a1, -1289 + xor a4, s1, a5 + srl a4, s11, gp + addi a7, a1, -1289 + add s10, t0, t2 + add s10, t0, t2 + sub s1, t5, s9 + srai a0, s9, 31 + auipc a5, 345872 + slt t0, s7, t6 + slli ra, s1, 16 + sltiu zero, s2, 1133 + add s10, t0, t2 + sltiu zero, s2, 1133 + slt t0, s7, t6 + xori s8, s5, 235 + lui a6, 588415 + sub s1, t5, s9 + auipc a5, 345872 + srai a0, s9, 31 + slti t6, t2, -1613 + sra s3, s0, a5 + ori s3, s0, -107 + or s7, a2, s3 + and a5, t4, t6 + slti t6, t2, -1613 + and a5, t4, t6 + srai a0, s9, 31 + slli ra, s1, 16 + xor a4, s1, a5 + sub s1, t5, s9 + lui a6, 588415 + xor a4, s1, a5 + sll t5, a2, zero + lui a6, 588415 + nop + or s7, a2, s3 + sra s3, s0, a5 + sra s3, s0, a5 + xor a4, s1, a5 + lui a6, 588415 + xor a4, s1, a5 + sub s1, t5, s9 + auipc a5, 345872 + nop + srai a0, s9, 31 + srl a4, s11, gp + slt t0, s7, t6 + xor a4, s1, a5 + add s10, t0, t2 + sub s1, t5, s9 + srli s3, s9, 13 + xor a4, s1, a5 + add s10, t0, t2 + slli ra, s1, 16 + srai a0, s9, 31 + addi a7, a1, -1289 + slli ra, s1, 16 + auipc a5, 345872 + ori s3, s0, -107 + sltu s6, s6, s5 + or s7, a2, s3 + sll t5, a2, zero + sra s3, s0, a5 + srli s3, s9, 13 + lui a6, 588415 + nop + sll t5, a2, zero + auipc a5, 345872 + lui a6, 588415 + ori s3, s0, -107 + auipc a5, 345872 + srl a4, s11, gp + slti t6, t2, -1613 + sltiu zero, s2, 1133 + sra s3, s0, a5 + srli s3, s9, 13 + auipc a5, 345872 + slli ra, s1, 16 + nop + sra s3, s0, a5 + sub s1, t5, s9 + srl a4, s11, gp + slli ra, s1, 16 + sltiu zero, s2, 1133 + sub s1, t5, s9 + sltiu zero, s2, 1133 + sra s3, s0, a5 + add s10, t0, t2 + or s7, a2, s3 + slt t0, s7, t6 + andi a3, t2, 1872 + sub s1, t5, s9 + slli ra, s1, 16 + add s10, t0, t2 + lui a6, 588415 + xor a4, s1, a5 + sll t5, a2, zero + slti t6, t2, -1613 + or s7, a2, s3 + sll t5, a2, zero + srai a0, s9, 31 + srli s3, s9, 13 + xori s8, s5, 235 + nop + nop + andi a3, t2, 1872 + sra s3, s0, a5 + slt t0, s7, t6 + srl a4, s11, gp + slt t0, s7, t6 + xori s8, s5, 235 + sll t5, a2, zero + andi a3, t2, 1872 + andi a3, t2, 1872 + addi a7, a1, -1289 + sub s1, t5, s9 + sltiu zero, s2, 1133 + srai a0, s9, 31 + sub s1, t5, s9 + lui a6, 588415 + srli s3, s9, 13 + slt t0, s7, t6 + slt t0, s7, t6 + srai a0, s9, 31 + xori s8, s5, 235 + sltu s6, s6, s5 + slti t6, t2, -1613 + andi a3, t2, 1872 + or s7, a2, s3 + slt t0, s7, t6 + lui a6, 588415 + slt t0, s7, t6 + slt t0, s7, t6 + sll t5, a2, zero + sltu s6, s6, s5 + xor a4, s1, a5 + sra s3, s0, a5 + sltiu zero, s2, 1133 + lui a6, 588415 + andi a3, t2, 1872 + srai a0, s9, 31 + sra s3, s0, a5 + sltiu zero, s2, 1133 + slt t0, s7, t6 + srai a0, s9, 31 + nop + sll t5, a2, zero + slt t0, s7, t6 + add s10, t0, t2 + srl a4, s11, gp + or s7, a2, s3 + srl a4, s11, gp + and a5, t4, t6 + auipc a5, 345872 + srai a0, s9, 31 + and a5, t4, t6 + sltiu zero, s2, 1133 + and a5, t4, t6 + lui a6, 588415 + sub s1, t5, s9 + sub s1, t5, s9 + srai a0, s9, 31 + sltu s6, s6, s5 + and a5, t4, t6 + sra s3, s0, a5 + srl a4, s11, gp + sltiu zero, s2, 1133 + addi a7, a1, -1289 + srai a0, s9, 31 + and a5, t4, t6 + sltu s6, s6, s5 + andi a3, t2, 1872 + nop + srli s3, s9, 13 + xor a4, s1, a5 + slti t6, t2, -1613 + nop + andi a3, t2, 1872 + slt t0, s7, t6 + auipc a5, 345872 + xor a4, s1, a5 + xori s8, s5, 235 + auipc a5, 345872 + addi a7, a1, -1289 + and a5, t4, t6 + andi a3, t2, 1872 + sltu s6, s6, s5 + srai a0, s9, 31 + srai a0, s9, 31 + slli ra, s1, 16 + and a5, t4, t6 + addi a7, a1, -1289 + addi a7, a1, -1289 + srl a4, s11, gp + or s7, a2, s3 + or s7, a2, s3 + sra s3, s0, a5 + and a5, t4, t6 + sub s1, t5, s9 + sltiu zero, s2, 1133 + slli ra, s1, 16 + addi a7, a1, -1289 + srli s3, s9, 13 + slti t6, t2, -1613 + srli s3, s9, 13 + srai a0, s9, 31 + slli ra, s1, 16 + srai a0, s9, 31 + slti t6, t2, -1613 + srai a0, s9, 31 + auipc a5, 345872 + and a5, t4, t6 + and a5, t4, t6 + sra s3, s0, a5 + nop + nop + sll t5, a2, zero + xor a4, s1, a5 + sub s1, t5, s9 + sub s1, t5, s9 + nop + srai a0, s9, 31 + xor a4, s1, a5 + nop + lui a6, 588415 + or s7, a2, s3 + sltu s6, s6, s5 + slt t0, s7, t6 + auipc a5, 345872 + addi a7, a1, -1289 + or s7, a2, s3 + and a5, t4, t6 + xori s8, s5, 235 + xori s8, s5, 235 + slt t0, s7, t6 + addi a7, a1, -1289 + or s7, a2, s3 + sltiu zero, s2, 1133 + slli ra, s1, 16 + ori s3, s0, -107 + sub s1, t5, s9 + slti t6, t2, -1613 + or s7, a2, s3 + xor a4, s1, a5 + nop + lui a6, 588415 + sltiu zero, s2, 1133 + sll t5, a2, zero + sltiu zero, s2, 1133 + slti t6, t2, -1613 + slli ra, s1, 16 + andi a3, t2, 1872 + auipc a5, 345872 + sub s1, t5, s9 + sra s3, s0, a5 + sub s1, t5, s9 + lui a6, 588415 + slti t6, t2, -1613 + slti t6, t2, -1613 + srl a4, s11, gp + sra s3, s0, a5 + add s10, t0, t2 + lui a6, 588415 + addi a7, a1, -1289 + slti t6, t2, -1613 + or s7, a2, s3 + sll t5, a2, zero + auipc a5, 345872 + slt t0, s7, t6 + sra s3, s0, a5 + slti t6, t2, -1613 + srl a4, s11, gp + sub s1, t5, s9 + srai a0, s9, 31 + auipc a5, 345872 + sltu s6, s6, s5 + auipc a5, 345872 + nop + xor a4, s1, a5 + nop + sltiu zero, s2, 1133 + sra s3, s0, a5 + srai a0, s9, 31 + xori s8, s5, 235 + nop + ori s3, s0, -107 + la x4, test_done + jalr x0, x4, 0 +test_done: + li gp, 1 + ecall +write_tohost: + sw gp, tohost, t5 + +_exit: + j write_tohost + +instr_end: + nop + +.section .data +.align 6; .global tohost; tohost: .dword 0; +.align 6; .global fromhost; fromhost: .dword 0; +.section .user_stack,"aw",@progbits; +.align 2 +user_stack_start: +.rept 4999 +.4byte 0x0 +.endr +user_stack_end: +.4byte 0x0 +.align 2 +kernel_instr_start: +.text +.align 2 +mtvec_handler: + addi x8, x8, -4 + sw x20, (x8) + add x20, x8, zero + addi x20, x20, -128 + sw x1, 4(x20) + sw x2, 8(x20) + sw x3, 12(x20) + sw x4, 16(x20) + sw x5, 20(x20) + sw x6, 24(x20) + sw x7, 28(x20) + sw x8, 32(x20) + sw x9, 36(x20) + sw x10, 40(x20) + sw x11, 44(x20) + sw x12, 48(x20) + sw x13, 52(x20) + sw x14, 56(x20) + sw x15, 60(x20) + sw x16, 64(x20) + sw x17, 68(x20) + sw x18, 72(x20) + sw x19, 76(x20) + sw x20, 80(x20) + sw x21, 84(x20) + sw x22, 88(x20) + sw x23, 92(x20) + sw x24, 96(x20) + sw x25, 100(x20) + sw x26, 104(x20) + sw x27, 108(x20) + sw x28, 112(x20) + sw x29, 116(x20) + sw x30, 120(x20) + sw x31, 124(x20) + add x8, x20, zero + csrr x5, 0x300 # MSTATUS + csrr x5, 0x342 # MCAUSE + srli x5, x5, 31 + bne x5, x0, mmode_intr_handler + +mmode_exception_handler: + csrr x5, 0x341 # MEPC + csrr x5, 0x342 # MCAUSE + li x31, 0x3 # BREAKPOINT + beq x5, x31, ebreak_handler + li x31, 0x8 # ECALL_UMODE + beq x5, x31, ecall_handler + li x31, 0x9 # ECALL_SMODE + beq x5, x31, ecall_handler + li x31, 0xb # ECALL_MMODE + beq x5, x31, ecall_handler + li x31, 0x1 + beq x5, x31, instr_fault_handler + li x31, 0x5 + beq x5, x31, load_fault_handler + li x31, 0x7 + beq x5, x31, store_fault_handler + li x31, 0xc + beq x5, x31, pt_fault_handler + li x31, 0xd + beq x5, x31, pt_fault_handler + li x31, 0xf + beq x5, x31, pt_fault_handler + li x31, 0x2 # ILLEGAL_INSTRUCTION + beq x5, x31, illegal_instr_handler + csrr x31, 0x343 # MTVAL + 1: la x4, test_done + jalr x1, x4, 0 + +ecall_handler: + la x5, _start + sw x0, 0(x5) + sw x1, 4(x5) + sw x2, 8(x5) + sw x3, 12(x5) + sw x4, 16(x5) + sw x5, 20(x5) + sw x6, 24(x5) + sw x7, 28(x5) + sw x8, 32(x5) + sw x9, 36(x5) + sw x10, 40(x5) + sw x11, 44(x5) + sw x12, 48(x5) + sw x13, 52(x5) + sw x14, 56(x5) + sw x15, 60(x5) + sw x16, 64(x5) + sw x17, 68(x5) + sw x18, 72(x5) + sw x19, 76(x5) + sw x20, 80(x5) + sw x21, 84(x5) + sw x22, 88(x5) + sw x23, 92(x5) + sw x24, 96(x5) + sw x25, 100(x5) + sw x26, 104(x5) + sw x27, 108(x5) + sw x28, 112(x5) + sw x29, 116(x5) + sw x30, 120(x5) + sw x31, 124(x5) + la x4, write_tohost + jalr x0, x4, 0 + +instr_fault_handler: + add x20, x8, zero + lw x1, 4(x20) + lw x2, 8(x20) + lw x3, 12(x20) + lw x4, 16(x20) + lw x5, 20(x20) + lw x6, 24(x20) + lw x7, 28(x20) + lw x8, 32(x20) + lw x9, 36(x20) + lw x10, 40(x20) + lw x11, 44(x20) + lw x12, 48(x20) + lw x13, 52(x20) + lw x14, 56(x20) + lw x15, 60(x20) + lw x16, 64(x20) + lw x17, 68(x20) + lw x18, 72(x20) + lw x19, 76(x20) + lw x20, 80(x20) + lw x21, 84(x20) + lw x22, 88(x20) + lw x23, 92(x20) + lw x24, 96(x20) + lw x25, 100(x20) + lw x26, 104(x20) + lw x27, 108(x20) + lw x28, 112(x20) + lw x29, 116(x20) + lw x30, 120(x20) + lw x31, 124(x20) + addi x20, x20, 128 + add x8, x20, zero + lw x20, (x8) + addi x8, x8, 4 + mret + +load_fault_handler: + add x20, x8, zero + lw x1, 4(x20) + lw x2, 8(x20) + lw x3, 12(x20) + lw x4, 16(x20) + lw x5, 20(x20) + lw x6, 24(x20) + lw x7, 28(x20) + lw x8, 32(x20) + lw x9, 36(x20) + lw x10, 40(x20) + lw x11, 44(x20) + lw x12, 48(x20) + lw x13, 52(x20) + lw x14, 56(x20) + lw x15, 60(x20) + lw x16, 64(x20) + lw x17, 68(x20) + lw x18, 72(x20) + lw x19, 76(x20) + lw x20, 80(x20) + lw x21, 84(x20) + lw x22, 88(x20) + lw x23, 92(x20) + lw x24, 96(x20) + lw x25, 100(x20) + lw x26, 104(x20) + lw x27, 108(x20) + lw x28, 112(x20) + lw x29, 116(x20) + lw x30, 120(x20) + lw x31, 124(x20) + addi x20, x20, 128 + add x8, x20, zero + lw x20, (x8) + addi x8, x8, 4 + mret + +store_fault_handler: + add x20, x8, zero + lw x1, 4(x20) + lw x2, 8(x20) + lw x3, 12(x20) + lw x4, 16(x20) + lw x5, 20(x20) + lw x6, 24(x20) + lw x7, 28(x20) + lw x8, 32(x20) + lw x9, 36(x20) + lw x10, 40(x20) + lw x11, 44(x20) + lw x12, 48(x20) + lw x13, 52(x20) + lw x14, 56(x20) + lw x15, 60(x20) + lw x16, 64(x20) + lw x17, 68(x20) + lw x18, 72(x20) + lw x19, 76(x20) + lw x20, 80(x20) + lw x21, 84(x20) + lw x22, 88(x20) + lw x23, 92(x20) + lw x24, 96(x20) + lw x25, 100(x20) + lw x26, 104(x20) + lw x27, 108(x20) + lw x28, 112(x20) + lw x29, 116(x20) + lw x30, 120(x20) + lw x31, 124(x20) + addi x20, x20, 128 + add x8, x20, zero + lw x20, (x8) + addi x8, x8, 4 + mret + +ebreak_handler: + csrr x5, 0x341 + addi x5, x5, 4 + csrw 0x341, x5 + add x20, x8, zero + lw x1, 4(x20) + lw x2, 8(x20) + lw x3, 12(x20) + lw x4, 16(x20) + lw x5, 20(x20) + lw x6, 24(x20) + lw x7, 28(x20) + lw x8, 32(x20) + lw x9, 36(x20) + lw x10, 40(x20) + lw x11, 44(x20) + lw x12, 48(x20) + lw x13, 52(x20) + lw x14, 56(x20) + lw x15, 60(x20) + lw x16, 64(x20) + lw x17, 68(x20) + lw x18, 72(x20) + lw x19, 76(x20) + lw x20, 80(x20) + lw x21, 84(x20) + lw x22, 88(x20) + lw x23, 92(x20) + lw x24, 96(x20) + lw x25, 100(x20) + lw x26, 104(x20) + lw x27, 108(x20) + lw x28, 112(x20) + lw x29, 116(x20) + lw x30, 120(x20) + lw x31, 124(x20) + addi x20, x20, 128 + add x8, x20, zero + lw x20, (x8) + addi x8, x8, 4 + mret + +illegal_instr_handler: + csrr x5, 0x341 + addi x5, x5, 4 + csrw 0x341, x5 + add x20, x8, zero + lw x1, 4(x20) + lw x2, 8(x20) + lw x3, 12(x20) + lw x4, 16(x20) + lw x5, 20(x20) + lw x6, 24(x20) + lw x7, 28(x20) + lw x8, 32(x20) + lw x9, 36(x20) + lw x10, 40(x20) + lw x11, 44(x20) + lw x12, 48(x20) + lw x13, 52(x20) + lw x14, 56(x20) + lw x15, 60(x20) + lw x16, 64(x20) + lw x17, 68(x20) + lw x18, 72(x20) + lw x19, 76(x20) + lw x20, 80(x20) + lw x21, 84(x20) + lw x22, 88(x20) + lw x23, 92(x20) + lw x24, 96(x20) + lw x25, 100(x20) + lw x26, 104(x20) + lw x27, 108(x20) + lw x28, 112(x20) + lw x29, 116(x20) + lw x30, 120(x20) + lw x31, 124(x20) + addi x20, x20, 128 + add x8, x20, zero + lw x20, (x8) + addi x8, x8, 4 + mret + +pt_fault_handler: + nop + +.align 2 +mmode_intr_handler: + csrr x5, 0x300 # MSTATUS; + csrr x5, 0x304 # MIE; + csrr x5, 0x344 # MIP; + csrrc x5, 0x344, x5 # MIP; + add x20, x8, zero + lw x1, 4(x20) + lw x2, 8(x20) + lw x3, 12(x20) + lw x4, 16(x20) + lw x5, 20(x20) + lw x6, 24(x20) + lw x7, 28(x20) + lw x8, 32(x20) + lw x9, 36(x20) + lw x10, 40(x20) + lw x11, 44(x20) + lw x12, 48(x20) + lw x13, 52(x20) + lw x14, 56(x20) + lw x15, 60(x20) + lw x16, 64(x20) + lw x17, 68(x20) + lw x18, 72(x20) + lw x19, 76(x20) + lw x20, 80(x20) + lw x21, 84(x20) + lw x22, 88(x20) + lw x23, 92(x20) + lw x24, 96(x20) + lw x25, 100(x20) + lw x26, 104(x20) + lw x27, 108(x20) + lw x28, 112(x20) + lw x29, 116(x20) + lw x30, 120(x20) + lw x31, 124(x20) + addi x20, x20, 128 + add x8, x20, zero + lw x20, (x8) + addi x8, x8, 4 + mret; + +kernel_instr_end: nop +.section .kernel_stack,"aw",@progbits; +.align 2 +kernel_stack_start: +.rept 3999 +.4byte 0x0 +.endr +kernel_stack_end: +.4byte 0x0 diff --git a/core/testcode/riscv_arithmetic_basic_test_0.bin b/core/testcode/riscv_arithmetic_basic_test_0.bin Binary files differnew file mode 100755 index 0000000..2a8d9cd --- /dev/null +++ b/core/testcode/riscv_arithmetic_basic_test_0.bin diff --git a/core/testcode/riscv_arithmetic_basic_test_0.o b/core/testcode/riscv_arithmetic_basic_test_0.o Binary files differnew file mode 100755 index 0000000..f447e11 --- /dev/null +++ b/core/testcode/riscv_arithmetic_basic_test_0.o |