aboutsummaryrefslogtreecommitdiff
path: root/primitives/rtl/AFF.sv
diff options
context:
space:
mode:
Diffstat (limited to 'primitives/rtl/AFF.sv')
-rw-r--r--primitives/rtl/AFF.sv19
1 files changed, 19 insertions, 0 deletions
diff --git a/primitives/rtl/AFF.sv b/primitives/rtl/AFF.sv
new file mode 100644
index 0000000..15789bf
--- /dev/null
+++ b/primitives/rtl/AFF.sv
@@ -0,0 +1,19 @@
+// AFF # ( .WIDTH/.DTYPE() ) ff_ ( .q(), .d(), .en(), .clk() );
+module AFF
+#(
+ parameter WIDTH = 1,
+ parameter type DTYPE = logic [WIDTH-1:0]
+)
+(
+ input logic clk,
+ input logic en,
+
+ input DTYPE d,
+ output DTYPE q
+);
+
+always_ff @(posedge clk) begin
+ if (en) q <= d;
+end
+
+endmodule