From c2f4f7dad93d68d2757b86ff28c1058982c22da5 Mon Sep 17 00:00:00 2001 From: joshua Date: Fri, 22 Dec 2023 20:39:14 -0500 Subject: initial multiplier commit --- multiplier/half_adder.v | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 multiplier/half_adder.v (limited to 'multiplier/half_adder.v') diff --git a/multiplier/half_adder.v b/multiplier/half_adder.v new file mode 100644 index 0000000..a322900 --- /dev/null +++ b/multiplier/half_adder.v @@ -0,0 +1,11 @@ +module half_adder ( + input logic a, + input logic b, + output logic sum, + output logic carry +); + +assign sum = a ^ b; +assign carry = a & b; + +endmodule -- cgit v1.2.3