From 5b04327dfa7a3005819045c9cc19e558e86d59d5 Mon Sep 17 00:00:00 2001 From: functionpointersuss Date: Sat, 23 Dec 2023 10:23:39 +0800 Subject: renamed to sv --- multiplier/hdl/half_adder.v | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 multiplier/hdl/half_adder.v (limited to 'multiplier/hdl/half_adder.v') diff --git a/multiplier/hdl/half_adder.v b/multiplier/hdl/half_adder.v new file mode 100644 index 0000000..a322900 --- /dev/null +++ b/multiplier/hdl/half_adder.v @@ -0,0 +1,11 @@ +module half_adder ( + input logic a, + input logic b, + output logic sum, + output logic carry +); + +assign sum = a ^ b; +assign carry = a & b; + +endmodule -- cgit v1.2.3