From dec5747241d15fca0b94117205a139b4ba692a9d Mon Sep 17 00:00:00 2001 From: functionpointersuss Date: Sat, 23 Dec 2023 13:36:04 +0800 Subject: updated gitignore, updated testbench, added beginnings of synthesis testing --- multiplier/hdl/half_adder.sv | 11 +++++++++++ multiplier/hdl/half_adder.v | 11 ----------- 2 files changed, 11 insertions(+), 11 deletions(-) create mode 100644 multiplier/hdl/half_adder.sv delete mode 100644 multiplier/hdl/half_adder.v (limited to 'multiplier/hdl') diff --git a/multiplier/hdl/half_adder.sv b/multiplier/hdl/half_adder.sv new file mode 100644 index 0000000..a322900 --- /dev/null +++ b/multiplier/hdl/half_adder.sv @@ -0,0 +1,11 @@ +module half_adder ( + input logic a, + input logic b, + output logic sum, + output logic carry +); + +assign sum = a ^ b; +assign carry = a & b; + +endmodule diff --git a/multiplier/hdl/half_adder.v b/multiplier/hdl/half_adder.v deleted file mode 100644 index a322900..0000000 --- a/multiplier/hdl/half_adder.v +++ /dev/null @@ -1,11 +0,0 @@ -module half_adder ( - input logic a, - input logic b, - output logic sum, - output logic carry -); - -assign sum = a ^ b; -assign carry = a & b; - -endmodule -- cgit v1.2.3