// AFF # ( .WIDTH/.DTYPE() ) ff_ ( .q(), .d(), .en(), .clk() ); module AFF #( parameter WIDTH = 1, parameter type DTYPE = logic [WIDTH-1:0] ) ( input logic clk, input logic en, input DTYPE d, output DTYPE q ); always_ff @(posedge clk) begin if (en) q <= d; end endmodule