aboutsummaryrefslogtreecommitdiff
path: root/core/rtl/core.sv
blob: 5f64e7a405d4478d7b375c1802dd43925dd5ad23 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
module core
(
  input  logic clk,
  input  logic rst_l,
  // Instruction mem interface
  output logic [63:0] if_imem_addr_IF,
  input  logic [63:0] imem_id_instr_ID

  // Data mem interface 
);

fetch fetch0 (
  .clk(clk),
  .rst_l(rst_l),
  .if_imem_addr_IF(if_imem_addr_IF)
);

always @ (posedge clk) begin
  $display("Instruction: %x", imem_id_instr_ID);
end

endmodule