aboutsummaryrefslogtreecommitdiff
path: root/primitives/rtl/AFF.sv
blob: 15789bf396d5e59a4683fa98e070e407f631bbc5 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
// AFF # ( .WIDTH/.DTYPE() ) ff_ ( .q(), .d(), .en(), .clk() );
module AFF
#(
  parameter      WIDTH = 1,
  parameter type DTYPE = logic [WIDTH-1:0]
)
(
  input  logic clk,
  input  logic en,

  input  DTYPE d,
  output DTYPE q
);

always_ff @(posedge clk) begin
  if (en) q <= d;
end

endmodule