summaryrefslogblamecommitdiff
path: root/verilog/fpu/a.out
blob: 6b5c150a5b75782d28f2e30b8961304a64de7cd0 (plain) (tree)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688















































































































































































































































































































































































































































































































































































































































































































                                                                                                                                                
#! /usr/bin/vvp
:ivl_version "11.0 (stable)" "(v11_0)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 9;
:vpi_module "/usr/lib/ivl/system.vpi";
:vpi_module "/usr/lib/ivl/vhdl_sys.vpi";
:vpi_module "/usr/lib/ivl/vhdl_textio.vpi";
:vpi_module "/usr/lib/ivl/v2005_math.vpi";
:vpi_module "/usr/lib/ivl/va_math.vpi";
S_0x5592cfc9f490 .scope module, "fpu_bench" "fpu_bench" 2 5;
 .timescale -6 -9;
v0x5592cfd06280_0 .var "add", 0 0;
v0x5592cfd06340_0 .net "fpu_output", 31 0, L_0x5592cfd1eeb0;  1 drivers
v0x5592cfd06410_0 .var "input1", 31 0;
v0x5592cfd06510_0 .var "input2", 31 0;
S_0x5592cfc9da90 .scope module, "fpu0" "fpu_2" 2 11, 3 2 0, S_0x5592cfc9f490;
 .timescale -6 -9;
    .port_info 0 /INPUT 1 "add_not";
    .port_info 1 /INPUT 32 "a_in";
    .port_info 2 /INPUT 32 "b_in";
    .port_info 3 /OUTPUT 32 "out";
L_0x5592cfd06840 .functor XOR 1, L_0x5592cfd07040, L_0x5592cfd070e0, C4<0>, C4<0>;
L_0x5592cfd07290 .functor NOT 1, L_0x5592cfd06840, C4<0>, C4<0>, C4<0>;
L_0x5592cfd07180 .functor NOT 24, L_0x5592cfd088a0, C4<000000000000000000000000>, C4<000000000000000000000000>, C4<000000000000000000000000>;
L_0x5592cfd19060 .functor NOT 24, L_0x5592cfd08c20, C4<000000000000000000000000>, C4<000000000000000000000000>, C4<000000000000000000000000>;
L_0x5592cfd196e0 .functor NOT 25, L_0x5592cfd1a420, C4<0000000000000000000000000>, C4<0000000000000000000000000>, C4<0000000000000000000000000>;
L_0x5592cfd1a5b0 .functor OR 1, L_0x5592cfd1aca0, L_0x5592cfd07290, C4<0>, C4<0>;
L_0x5592cfd1aeb0 .functor NOT 25, L_0x5592cfd1a980, C4<0000000000000000000000000>, C4<0000000000000000000000000>, C4<0000000000000000000000000>;
L_0x5592cfd1afc0 .functor OR 1, L_0x5592cfd1b620, L_0x5592cfd1b870, C4<0>, C4<0>;
L_0x5592cfd1ba00 .functor AND 1, v0x5592cfd06280_0, L_0x5592cfd1afc0, C4<1>, C4<1>;
L_0x5592cfd1bdc0 .functor XOR 1, L_0x5592cfd1bac0, L_0x5592cfd1bd20, C4<0>, C4<0>;
L_0x5592cfd1bf30 .functor XOR 1, L_0x5592cfd1bdc0, v0x5592cfd06280_0, C4<0>, C4<0>;
L_0x5592cfd1e3a0 .functor AND 1, L_0x5592cfd1e0b0, L_0x5592cfd07290, C4<1>, C4<1>;
L_0x5592cfd1e570 .functor AND 1, L_0x5592cfd1fe90, L_0x5592cfd07290, C4<1>, C4<1>;
v0x5592cfcff120_0 .net *"_ivl_1", 7 0, L_0x5592cfd065e0;  1 drivers
v0x5592cfcff220_0 .net *"_ivl_100", 24 0, L_0x5592cfd19c60;  1 drivers
L_0x7ff85d2be1c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfcff300_0 .net *"_ivl_103", 0 0, L_0x7ff85d2be1c8;  1 drivers
v0x5592cfcff3c0_0 .net *"_ivl_104", 24 0, L_0x5592cfd19d50;  1 drivers
L_0x7ff85d2be210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfcff4a0_0 .net *"_ivl_107", 0 0, L_0x7ff85d2be210;  1 drivers
v0x5592cfcff5d0_0 .net *"_ivl_110", 24 0, L_0x5592cfd1a130;  1 drivers
L_0x7ff85d2be258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfcff6b0_0 .net *"_ivl_113", 0 0, L_0x7ff85d2be258;  1 drivers
v0x5592cfcff790_0 .net *"_ivl_114", 24 0, L_0x5592cfd1a420;  1 drivers
L_0x7ff85d2be2a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfcff870_0 .net *"_ivl_117", 0 0, L_0x7ff85d2be2a0;  1 drivers
v0x5592cfcff950_0 .net *"_ivl_118", 24 0, L_0x5592cfd196e0;  1 drivers
v0x5592cfcffa30_0 .net *"_ivl_120", 24 0, L_0x5592cfd1a510;  1 drivers
L_0x7ff85d2be2e8 .functor BUFT 1, C4<0000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x5592cfcffb10_0 .net/2u *"_ivl_122", 24 0, L_0x7ff85d2be2e8;  1 drivers
v0x5592cfcffbf0_0 .net *"_ivl_129", 0 0, L_0x5592cfd1aca0;  1 drivers
v0x5592cfcffcd0_0 .net *"_ivl_13", 0 0, L_0x5592cfd06c70;  1 drivers
v0x5592cfcffdb0_0 .net *"_ivl_130", 0 0, L_0x5592cfd1a5b0;  1 drivers
v0x5592cfcffe90_0 .net *"_ivl_132", 24 0, L_0x5592cfd1aeb0;  1 drivers
L_0x7ff85d2be330 .functor BUFT 1, C4<0000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x5592cfcfff70_0 .net/2u *"_ivl_134", 24 0, L_0x7ff85d2be330;  1 drivers
v0x5592cfd00050_0 .net *"_ivl_136", 24 0, L_0x5592cfd1af20;  1 drivers
v0x5592cfd00130_0 .net *"_ivl_143", 0 0, L_0x5592cfd1b620;  1 drivers
v0x5592cfd00210_0 .net *"_ivl_145", 0 0, L_0x5592cfd1b870;  1 drivers
v0x5592cfd002f0_0 .net *"_ivl_146", 0 0, L_0x5592cfd1afc0;  1 drivers
v0x5592cfd003d0_0 .net *"_ivl_148", 0 0, L_0x5592cfd1ba00;  1 drivers
v0x5592cfd004b0_0 .net *"_ivl_15", 7 0, L_0x5592cfd06d60;  1 drivers
v0x5592cfd00590_0 .net *"_ivl_151", 0 0, L_0x5592cfd1bac0;  1 drivers
v0x5592cfd00670_0 .net *"_ivl_153", 0 0, L_0x5592cfd1bd20;  1 drivers
v0x5592cfd00750_0 .net *"_ivl_154", 0 0, L_0x5592cfd1bdc0;  1 drivers
v0x5592cfd00830_0 .net *"_ivl_156", 0 0, L_0x5592cfd1bf30;  1 drivers
v0x5592cfd00910_0 .net *"_ivl_158", 0 0, L_0x5592cfd1c030;  1 drivers
L_0x7ff85d2be3c0 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
v0x5592cfd009d0_0 .net/2u *"_ivl_160", 1 0, L_0x7ff85d2be3c0;  1 drivers
L_0x7ff85d2be408 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x5592cfd00ab0_0 .net/2u *"_ivl_162", 1 0, L_0x7ff85d2be408;  1 drivers
v0x5592cfd00b90_0 .net *"_ivl_164", 1 0, L_0x5592cfd1c2a0;  1 drivers
v0x5592cfd00c70_0 .net *"_ivl_167", 0 0, L_0x5592cfd1c3e0;  1 drivers
v0x5592cfd00d50_0 .net *"_ivl_168", 1 0, L_0x5592cfd1c660;  1 drivers
v0x5592cfd00e30_0 .net *"_ivl_17", 7 0, L_0x5592cfd06e50;  1 drivers
L_0x7ff85d2be450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfd00f10_0 .net *"_ivl_171", 0 0, L_0x7ff85d2be450;  1 drivers
v0x5592cfd00ff0_0 .net *"_ivl_172", 1 0, L_0x5592cfd1c7a0;  1 drivers
v0x5592cfd010d0_0 .net *"_ivl_174", 0 0, L_0x5592cfd1cb20;  1 drivers
v0x5592cfd01190_0 .net *"_ivl_177", 0 0, L_0x5592cfd1cbc0;  1 drivers
v0x5592cfd01270_0 .net *"_ivl_178", 1 0, L_0x5592cfd1ce60;  1 drivers
L_0x7ff85d2be498 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfd01350_0 .net *"_ivl_181", 0 0, L_0x7ff85d2be498;  1 drivers
v0x5592cfd01430_0 .net *"_ivl_183", 0 0, L_0x5592cfd1cfa0;  1 drivers
v0x5592cfd01510_0 .net *"_ivl_184", 1 0, L_0x5592cfd1d250;  1 drivers
L_0x7ff85d2be4e0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfd015f0_0 .net *"_ivl_187", 0 0, L_0x7ff85d2be4e0;  1 drivers
v0x5592cfd016d0_0 .net *"_ivl_188", 1 0, L_0x5592cfd1d390;  1 drivers
v0x5592cfd017b0_0 .net *"_ivl_190", 1 0, L_0x5592cfd1d740;  1 drivers
v0x5592cfd01890_0 .net *"_ivl_193", 0 0, L_0x5592cfd1d8d0;  1 drivers
v0x5592cfd01970_0 .net *"_ivl_197", 0 0, L_0x5592cfd1dbf0;  1 drivers
v0x5592cfd01a50_0 .net *"_ivl_198", 7 0, L_0x5592cfd1dce0;  1 drivers
v0x5592cfd01b30_0 .net *"_ivl_200", 7 0, L_0x5592cfd1e010;  1 drivers
v0x5592cfd01c10_0 .net *"_ivl_203", 0 0, L_0x5592cfd1e0b0;  1 drivers
v0x5592cfd01cf0_0 .net *"_ivl_204", 0 0, L_0x5592cfd1e3a0;  1 drivers
L_0x7ff85d2be528 .functor BUFT 1, C4<00000001>, C4<0>, C4<0>, C4<0>;
v0x5592cfd01dd0_0 .net/2u *"_ivl_206", 7 0, L_0x7ff85d2be528;  1 drivers
v0x5592cfd01eb0_0 .net *"_ivl_208", 7 0, L_0x5592cfd1e4d0;  1 drivers
v0x5592cfd01f90_0 .net *"_ivl_21", 0 0, L_0x5592cfd07040;  1 drivers
v0x5592cfd02070_0 .net *"_ivl_210", 7 0, L_0x5592cfd1e630;  1 drivers
v0x5592cfd02150_0 .net *"_ivl_212", 7 0, L_0x5592cfd1e9c0;  1 drivers
v0x5592cfd02230_0 .net *"_ivl_214", 7 0, L_0x5592cfd1eb00;  1 drivers
v0x5592cfd02310_0 .net *"_ivl_220", 22 0, L_0x5592cfd1f040;  1 drivers
v0x5592cfd023f0_0 .net *"_ivl_222", 0 0, L_0x5592cfd1f360;  1 drivers
v0x5592cfd024d0_0 .net *"_ivl_224", 22 0, L_0x5592cfd1f490;  1 drivers
v0x5592cfd025b0_0 .net *"_ivl_226", 22 0, L_0x5592cfd1f7c0;  1 drivers
v0x5592cfd02690_0 .net *"_ivl_227", 22 0, L_0x5592cfd1f860;  1 drivers
v0x5592cfd02770_0 .net *"_ivl_229", 22 0, L_0x5592cfd1fc40;  1 drivers
v0x5592cfd02850_0 .net *"_ivl_23", 0 0, L_0x5592cfd070e0;  1 drivers
v0x5592cfd02930_0 .net *"_ivl_232", 0 0, L_0x5592cfd1fe90;  1 drivers
v0x5592cfd02e20_0 .net *"_ivl_233", 0 0, L_0x5592cfd1e570;  1 drivers
v0x5592cfd02f00_0 .net *"_ivl_236", 22 0, L_0x5592cfd201e0;  1 drivers
v0x5592cfd02fe0_0 .net *"_ivl_238", 22 0, L_0x5592cfd20280;  1 drivers
v0x5592cfd030c0_0 .net *"_ivl_24", 0 0, L_0x5592cfd06840;  1 drivers
v0x5592cfd031a0_0 .net *"_ivl_240", 22 0, L_0x5592cfd205e0;  1 drivers
v0x5592cfd03280_0 .net *"_ivl_241", 22 0, L_0x5592cfd20680;  1 drivers
v0x5592cfd03360_0 .net *"_ivl_243", 22 0, L_0x5592cfd20a90;  1 drivers
v0x5592cfd03440_0 .net *"_ivl_245", 22 0, L_0x5592cfd20c20;  1 drivers
v0x5592cfd03520_0 .net *"_ivl_29", 7 0, L_0x5592cfd07350;  1 drivers
v0x5592cfd03600_0 .net *"_ivl_3", 7 0, L_0x5592cfd066b0;  1 drivers
v0x5592cfd036e0_0 .net *"_ivl_31", 0 0, L_0x5592cfd073f0;  1 drivers
L_0x7ff85d2be018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x5592cfd037a0_0 .net/2u *"_ivl_32", 0 0, L_0x7ff85d2be018;  1 drivers
v0x5592cfd03880_0 .net *"_ivl_35", 22 0, L_0x5592cfd07560;  1 drivers
v0x5592cfd03960_0 .net *"_ivl_36", 23 0, L_0x5592cfd07600;  1 drivers
L_0x7ff85d2be060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfd03a40_0 .net/2u *"_ivl_38", 0 0, L_0x7ff85d2be060;  1 drivers
v0x5592cfd03b20_0 .net *"_ivl_41", 22 0, L_0x5592cfd077d0;  1 drivers
v0x5592cfd03c00_0 .net *"_ivl_42", 23 0, L_0x5592cfd07870;  1 drivers
v0x5592cfd03ce0_0 .net *"_ivl_47", 7 0, L_0x5592cfd07c10;  1 drivers
v0x5592cfd03dc0_0 .net *"_ivl_49", 0 0, L_0x5592cfd079e0;  1 drivers
L_0x7ff85d2be0a8 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x5592cfd03e80_0 .net/2u *"_ivl_50", 0 0, L_0x7ff85d2be0a8;  1 drivers
v0x5592cfd03f60_0 .net *"_ivl_53", 22 0, L_0x5592cfd07db0;  1 drivers
v0x5592cfd04040_0 .net *"_ivl_54", 23 0, L_0x5592cfd07f10;  1 drivers
L_0x7ff85d2be0f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x5592cfd04120_0 .net/2u *"_ivl_56", 0 0, L_0x7ff85d2be0f0;  1 drivers
v0x5592cfd04200_0 .net *"_ivl_59", 22 0, L_0x5592cfd08080;  1 drivers
v0x5592cfd042e0_0 .net *"_ivl_60", 23 0, L_0x5592cfd081f0;  1 drivers
v0x5592cfd043c0_0 .net *"_ivl_65", 0 0, L_0x5592cfd085d0;  1 drivers
v0x5592cfd044a0_0 .net *"_ivl_66", 23 0, L_0x5592cfd08670;  1 drivers
v0x5592cfd04580_0 .net *"_ivl_7", 7 0, L_0x5592cfd06950;  1 drivers
v0x5592cfd04660_0 .net *"_ivl_71", 0 0, L_0x5592cfd089e0;  1 drivers
v0x5592cfd04740_0 .net *"_ivl_72", 23 0, L_0x5592cfd08b80;  1 drivers
v0x5592cfd04820_0 .net *"_ivl_77", 0 0, L_0x5592cfd08e70;  1 drivers
v0x5592cfd04900_0 .net *"_ivl_78", 23 0, L_0x5592cfd07180;  1 drivers
L_0x7ff85d2be138 .functor BUFT 1, C4<000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x5592cfd049e0_0 .net/2u *"_ivl_80", 23 0, L_0x7ff85d2be138;  1 drivers
v0x5592cfd04ac0_0 .net *"_ivl_82", 23 0, L_0x5592cfd18fc0;  1 drivers
v0x5592cfd04ba0_0 .net *"_ivl_84", 23 0, L_0x5592cfd19290;  1 drivers
v0x5592cfd04c80_0 .net *"_ivl_89", 0 0, L_0x5592cfd195a0;  1 drivers
v0x5592cfd04d60_0 .net *"_ivl_9", 7 0, L_0x5592cfd06a20;  1 drivers
v0x5592cfd04e40_0 .net *"_ivl_90", 23 0, L_0x5592cfd19060;  1 drivers
L_0x7ff85d2be180 .functor BUFT 1, C4<000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x5592cfd04f20_0 .net/2u *"_ivl_92", 23 0, L_0x7ff85d2be180;  1 drivers
v0x5592cfd05000_0 .net *"_ivl_94", 23 0, L_0x5592cfd19640;  1 drivers
v0x5592cfd050e0_0 .net *"_ivl_96", 23 0, L_0x5592cfd198e0;  1 drivers
v0x5592cfd051c0_0 .net "a_in", 31 0, v0x5592cfd06410_0;  1 drivers
v0x5592cfd052a0_0 .net "a_shft_sig", 23 0, L_0x5592cfd088a0;  1 drivers
v0x5592cfd05380_0 .net "a_sig", 23 0, L_0x5592cfd07a80;  1 drivers
v0x5592cfd05460_0 .net "a_sign_sig", 23 0, L_0x5592cfd193d0;  1 drivers
v0x5592cfd05540_0 .net "add_not", 0 0, v0x5592cfd06280_0;  1 drivers
v0x5592cfd05600_0 .net "b_in", 31 0, v0x5592cfd06510_0;  1 drivers
v0x5592cfd056e0_0 .net "b_shft_sig", 23 0, L_0x5592cfd08c20;  1 drivers
v0x5592cfd057c0_0 .net "b_sig", 23 0, L_0x5592cfd08360;  1 drivers
v0x5592cfd058a0_0 .net "b_sign_sig", 23 0, L_0x5592cfd19a20;  1 drivers
v0x5592cfd05980_0 .net "diff", 7 0, L_0x5592cfd067a0;  1 drivers
v0x5592cfd05a60_0 .net "exp", 7 0, L_0x5592cfd06ef0;  1 drivers
v0x5592cfd05b20_0 .net "exp_diff", 7 0, L_0x5592cfd1b4e0;  1 drivers
v0x5592cfd05bc0_0 .net "neg_diff", 7 0, L_0x5592cfd06ac0;  1 drivers
v0x5592cfd05c80_0 .net "out", 31 0, L_0x5592cfd1eeb0;  alias, 1 drivers
v0x5592cfd05d60_0 .net "same_sign", 0 0, L_0x5592cfd07290;  1 drivers
v0x5592cfd05e20_0 .net "sig_diff", 24 0, L_0x5592cfd1a840;  1 drivers
v0x5592cfd05f00_0 .net "sig_diff_final", 24 0, v0x5592cfcfec10_0;  1 drivers
v0x5592cfd05fc0_0 .net "sig_final", 24 0, L_0x5592cfd1b220;  1 drivers
v0x5592cfd06060_0 .net "sig_op", 24 0, L_0x5592cfd1a980;  1 drivers
v0x5592cfd06120_0 .net "sig_sum", 24 0, L_0x5592cfd19ff0;  1 drivers
L_0x5592cfd065e0 .part v0x5592cfd06410_0, 23, 8;
L_0x5592cfd066b0 .part v0x5592cfd06510_0, 23, 8;
L_0x5592cfd067a0 .arith/sub 8, L_0x5592cfd065e0, L_0x5592cfd066b0;
L_0x5592cfd06950 .part v0x5592cfd06510_0, 23, 8;
L_0x5592cfd06a20 .part v0x5592cfd06410_0, 23, 8;
L_0x5592cfd06ac0 .arith/sub 8, L_0x5592cfd06950, L_0x5592cfd06a20;
L_0x5592cfd06c70 .part L_0x5592cfd067a0, 7, 1;
L_0x5592cfd06d60 .part v0x5592cfd06510_0, 23, 8;
L_0x5592cfd06e50 .part v0x5592cfd06410_0, 23, 8;
L_0x5592cfd06ef0 .functor MUXZ 8, L_0x5592cfd06e50, L_0x5592cfd06d60, L_0x5592cfd06c70, C4<>;
L_0x5592cfd07040 .part v0x5592cfd06410_0, 31, 1;
L_0x5592cfd070e0 .part v0x5592cfd06510_0, 31, 1;
L_0x5592cfd07350 .part v0x5592cfd06410_0, 23, 8;
L_0x5592cfd073f0 .reduce/or L_0x5592cfd07350;
L_0x5592cfd07560 .part v0x5592cfd06410_0, 0, 23;
L_0x5592cfd07600 .concat [ 23 1 0 0], L_0x5592cfd07560, L_0x7ff85d2be018;
L_0x5592cfd077d0 .part v0x5592cfd06410_0, 0, 23;
L_0x5592cfd07870 .concat [ 23 1 0 0], L_0x5592cfd077d0, L_0x7ff85d2be060;
L_0x5592cfd07a80 .functor MUXZ 24, L_0x5592cfd07870, L_0x5592cfd07600, L_0x5592cfd073f0, C4<>;
L_0x5592cfd07c10 .part v0x5592cfd06510_0, 23, 8;
L_0x5592cfd079e0 .reduce/or L_0x5592cfd07c10;
L_0x5592cfd07db0 .part v0x5592cfd06510_0, 0, 23;
L_0x5592cfd07f10 .concat [ 23 1 0 0], L_0x5592cfd07db0, L_0x7ff85d2be0a8;
L_0x5592cfd08080 .part v0x5592cfd06510_0, 0, 23;
L_0x5592cfd081f0 .concat [ 23 1 0 0], L_0x5592cfd08080, L_0x7ff85d2be0f0;
L_0x5592cfd08360 .functor MUXZ 24, L_0x5592cfd081f0, L_0x5592cfd07f10, L_0x5592cfd079e0, C4<>;
L_0x5592cfd085d0 .part L_0x5592cfd067a0, 7, 1;
L_0x5592cfd08670 .shift/r 24, L_0x5592cfd07a80, L_0x5592cfd06ac0;
L_0x5592cfd088a0 .functor MUXZ 24, L_0x5592cfd07a80, L_0x5592cfd08670, L_0x5592cfd085d0, C4<>;
L_0x5592cfd089e0 .part L_0x5592cfd067a0, 7, 1;
L_0x5592cfd08b80 .shift/r 24, L_0x5592cfd08360, L_0x5592cfd067a0;
L_0x5592cfd08c20 .functor MUXZ 24, L_0x5592cfd08b80, L_0x5592cfd08360, L_0x5592cfd089e0, C4<>;
L_0x5592cfd08e70 .part v0x5592cfd06410_0, 31, 1;
L_0x5592cfd18fc0 .arith/sum 24, L_0x5592cfd07180, L_0x7ff85d2be138;
L_0x5592cfd19290 .functor MUXZ 24, L_0x5592cfd088a0, L_0x5592cfd18fc0, L_0x5592cfd08e70, C4<>;
L_0x5592cfd193d0 .functor MUXZ 24, L_0x5592cfd19290, L_0x5592cfd088a0, L_0x5592cfd07290, C4<>;
L_0x5592cfd195a0 .part v0x5592cfd06510_0, 31, 1;
L_0x5592cfd19640 .arith/sum 24, L_0x5592cfd19060, L_0x7ff85d2be180;
L_0x5592cfd198e0 .functor MUXZ 24, L_0x5592cfd08c20, L_0x5592cfd19640, L_0x5592cfd195a0, C4<>;
L_0x5592cfd19a20 .functor MUXZ 24, L_0x5592cfd198e0, L_0x5592cfd08c20, L_0x5592cfd07290, C4<>;
L_0x5592cfd19c60 .concat [ 24 1 0 0], L_0x5592cfd193d0, L_0x7ff85d2be1c8;
L_0x5592cfd19d50 .concat [ 24 1 0 0], L_0x5592cfd19a20, L_0x7ff85d2be210;
L_0x5592cfd19ff0 .arith/sum 25, L_0x5592cfd19c60, L_0x5592cfd19d50;
L_0x5592cfd1a130 .concat [ 24 1 0 0], L_0x5592cfd088a0, L_0x7ff85d2be258;
L_0x5592cfd1a420 .concat [ 24 1 0 0], L_0x5592cfd08c20, L_0x7ff85d2be2a0;
L_0x5592cfd1a510 .arith/sum 25, L_0x5592cfd1a130, L_0x5592cfd196e0;
L_0x5592cfd1a840 .arith/sum 25, L_0x5592cfd1a510, L_0x7ff85d2be2e8;
L_0x5592cfd1a980 .functor MUXZ 25, L_0x5592cfd19ff0, L_0x5592cfd1a840, v0x5592cfd06280_0, C4<>;
L_0x5592cfd1aca0 .part L_0x5592cfd1a980, 24, 1;
L_0x5592cfd1af20 .arith/sum 25, L_0x5592cfd1aeb0, L_0x7ff85d2be330;
L_0x5592cfd1b220 .functor MUXZ 25, L_0x5592cfd1af20, L_0x5592cfd1a980, L_0x5592cfd1a5b0, C4<>;
L_0x5592cfd1b620 .part v0x5592cfd06410_0, 31, 1;
L_0x5592cfd1b870 .part v0x5592cfd06510_0, 31, 1;
L_0x5592cfd1bac0 .part v0x5592cfd06410_0, 31, 1;
L_0x5592cfd1bd20 .part v0x5592cfd06510_0, 31, 1;
L_0x5592cfd1c030 .cmp/gt 24, L_0x5592cfd088a0, L_0x5592cfd08c20;
L_0x5592cfd1c2a0 .functor MUXZ 2, L_0x7ff85d2be408, L_0x7ff85d2be3c0, L_0x5592cfd1c030, C4<>;
L_0x5592cfd1c3e0 .part v0x5592cfd06410_0, 31, 1;
L_0x5592cfd1c660 .concat [ 1 1 0 0], L_0x5592cfd1c3e0, L_0x7ff85d2be450;
L_0x5592cfd1c7a0 .functor MUXZ 2, L_0x5592cfd1c660, L_0x5592cfd1c2a0, L_0x5592cfd1bf30, C4<>;
L_0x5592cfd1cb20 .cmp/gt 24, L_0x5592cfd088a0, L_0x5592cfd08c20;
L_0x5592cfd1cbc0 .part v0x5592cfd06410_0, 31, 1;
L_0x5592cfd1ce60 .concat [ 1 1 0 0], L_0x5592cfd1cbc0, L_0x7ff85d2be498;
L_0x5592cfd1cfa0 .part v0x5592cfd06510_0, 31, 1;
L_0x5592cfd1d250 .concat [ 1 1 0 0], L_0x5592cfd1cfa0, L_0x7ff85d2be4e0;
L_0x5592cfd1d390 .functor MUXZ 2, L_0x5592cfd1d250, L_0x5592cfd1ce60, L_0x5592cfd1cb20, C4<>;
L_0x5592cfd1d740 .functor MUXZ 2, L_0x5592cfd1d390, L_0x5592cfd1c7a0, L_0x5592cfd1ba00, C4<>;
L_0x5592cfd1d8d0 .part L_0x5592cfd1d740, 0, 1;
L_0x5592cfd1dbf0 .part v0x5592cfcfec10_0, 24, 1;
L_0x5592cfd1dce0 .functor MUXZ 8, L_0x5592cfd06ef0, L_0x5592cfd06ef0, L_0x5592cfd1dbf0, C4<>;
L_0x5592cfd1e010 .functor MUXZ 8, L_0x5592cfd1dce0, L_0x5592cfd1b4e0, L_0x5592cfd07290, C4<>;
L_0x5592cfd1e0b0 .part L_0x5592cfd1b220, 24, 1;
L_0x5592cfd1e4d0 .arith/sum 8, L_0x5592cfd06ef0, L_0x7ff85d2be528;
L_0x5592cfd1e630 .functor MUXZ 8, L_0x5592cfd1b4e0, L_0x5592cfd06ef0, L_0x5592cfd07290, C4<>;
L_0x5592cfd1e9c0 .functor MUXZ 8, L_0x5592cfd1e630, L_0x5592cfd1e4d0, L_0x5592cfd1e3a0, C4<>;
L_0x5592cfd1eb00 .functor MUXZ 8, L_0x5592cfd1e9c0, L_0x5592cfd1e010, v0x5592cfd06280_0, C4<>;
L_0x5592cfd1eeb0 .concat8 [ 23 8 1 0], L_0x5592cfd20c20, L_0x5592cfd1eb00, L_0x5592cfd1d8d0;
L_0x5592cfd1f040 .part v0x5592cfcfec10_0, 0, 23;
L_0x5592cfd1f360 .part v0x5592cfcfec10_0, 24, 1;
L_0x5592cfd1f490 .part v0x5592cfcfec10_0, 1, 23;
L_0x5592cfd1f7c0 .part v0x5592cfcfec10_0, 0, 23;
L_0x5592cfd1f860 .functor MUXZ 23, L_0x5592cfd1f7c0, L_0x5592cfd1f490, L_0x5592cfd1f360, C4<>;
L_0x5592cfd1fc40 .functor MUXZ 23, L_0x5592cfd1f860, L_0x5592cfd1f040, L_0x5592cfd07290, C4<>;
L_0x5592cfd1fe90 .part L_0x5592cfd1b220, 24, 1;
L_0x5592cfd201e0 .part L_0x5592cfd1b220, 1, 23;
L_0x5592cfd20280 .part L_0x5592cfd1b220, 0, 23;
L_0x5592cfd205e0 .part v0x5592cfcfec10_0, 0, 23;
L_0x5592cfd20680 .functor MUXZ 23, L_0x5592cfd205e0, L_0x5592cfd20280, L_0x5592cfd07290, C4<>;
L_0x5592cfd20a90 .functor MUXZ 23, L_0x5592cfd20680, L_0x5592cfd201e0, L_0x5592cfd1e570, C4<>;
L_0x5592cfd20c20 .functor MUXZ 23, L_0x5592cfd20a90, L_0x5592cfd1fc40, v0x5592cfd06280_0, C4<>;
S_0x5592cfc9c0b0 .scope module, "exp_calc0" "exp_calc" 3 42, 4 10 0, S_0x5592cfc9da90;
 .timescale -6 -9;
    .port_info 0 /INPUT 25 "significand";
    .port_info 1 /INPUT 8 "Exponent_a";
    .port_info 2 /OUTPUT 25 "Significand";
    .port_info 3 /OUTPUT 8 "Exponent_sub";
v0x5592cfc9b420_0 .net "Exponent_a", 7 0, L_0x5592cfd06ef0;  alias, 1 drivers
v0x5592cfcfeb30_0 .net "Exponent_sub", 7 0, L_0x5592cfd1b4e0;  alias, 1 drivers
v0x5592cfcfec10_0 .var "Significand", 24 0;
v0x5592cfcfecd0_0 .net *"_ivl_0", 7 0, L_0x5592cfd1b440;  1 drivers
L_0x7ff85d2be378 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0x5592cfcfedb0_0 .net *"_ivl_3", 2 0, L_0x7ff85d2be378;  1 drivers
v0x5592cfcfeee0_0 .var "shift", 4 0;
v0x5592cfcfefc0_0 .net "significand", 24 0, L_0x5592cfd1b220;  alias, 1 drivers
E_0x5592cfca3a00 .event edge, v0x5592cfcfefc0_0;
L_0x5592cfd1b440 .concat [ 5 3 0 0], v0x5592cfcfeee0_0, L_0x7ff85d2be378;
L_0x5592cfd1b4e0 .arith/sub 8, L_0x5592cfd06ef0, L_0x5592cfd1b440;
    .scope S_0x5592cfc9c0b0;
T_0 ;
    %wait E_0x5592cfca3a00;
    %load/vec4 v0x5592cfcfefc0_0;
    %dup/vec4;
    %pushi/vec4 33554431, 25165823, 25;
    %cmp/x;
    %jmp/1 T_0.0, 4;
    %dup/vec4;
    %pushi/vec4 25165823, 20971519, 25;
    %cmp/x;
    %jmp/1 T_0.1, 4;
    %dup/vec4;
    %pushi/vec4 20971519, 18874367, 25;
    %cmp/x;
    %jmp/1 T_0.2, 4;
    %dup/vec4;
    %pushi/vec4 18874367, 17825791, 25;
    %cmp/x;
    %jmp/1 T_0.3, 4;
    %dup/vec4;
    %pushi/vec4 17825791, 17301503, 25;
    %cmp/x;
    %jmp/1 T_0.4, 4;
    %dup/vec4;
    %pushi/vec4 17301503, 17039359, 25;
    %cmp/x;
    %jmp/1 T_0.5, 4;
    %dup/vec4;
    %pushi/vec4 17039359, 16908287, 25;
    %cmp/x;
    %jmp/1 T_0.6, 4;
    %dup/vec4;
    %pushi/vec4 16908287, 16842751, 25;
    %cmp/x;
    %jmp/1 T_0.7, 4;
    %dup/vec4;
    %pushi/vec4 16842751, 16809983, 25;
    %cmp/x;
    %jmp/1 T_0.8, 4;
    %dup/vec4;
    %pushi/vec4 16809983, 16793599, 25;
    %cmp/x;
    %jmp/1 T_0.9, 4;
    %dup/vec4;
    %pushi/vec4 16793599, 16785407, 25;
    %cmp/x;
    %jmp/1 T_0.10, 4;
    %dup/vec4;
    %pushi/vec4 16785407, 16781311, 25;
    %cmp/x;
    %jmp/1 T_0.11, 4;
    %dup/vec4;
    %pushi/vec4 16781311, 16779263, 25;
    %cmp/x;
    %jmp/1 T_0.12, 4;
    %dup/vec4;
    %pushi/vec4 16779263, 16778239, 25;
    %cmp/x;
    %jmp/1 T_0.13, 4;
    %dup/vec4;
    %pushi/vec4 16778239, 16777727, 25;
    %cmp/x;
    %jmp/1 T_0.14, 4;
    %dup/vec4;
    %pushi/vec4 16777727, 16777471, 25;
    %cmp/x;
    %jmp/1 T_0.15, 4;
    %dup/vec4;
    %pushi/vec4 16777471, 16777343, 25;
    %cmp/x;
    %jmp/1 T_0.16, 4;
    %dup/vec4;
    %pushi/vec4 16777343, 16777279, 25;
    %cmp/x;
    %jmp/1 T_0.17, 4;
    %dup/vec4;
    %pushi/vec4 16777279, 16777247, 25;
    %cmp/x;
    %jmp/1 T_0.18, 4;
    %dup/vec4;
    %pushi/vec4 16777247, 16777231, 25;
    %cmp/x;
    %jmp/1 T_0.19, 4;
    %dup/vec4;
    %pushi/vec4 16777231, 16777223, 25;
    %cmp/x;
    %jmp/1 T_0.20, 4;
    %dup/vec4;
    %pushi/vec4 16777223, 16777219, 25;
    %cmp/x;
    %jmp/1 T_0.21, 4;
    %dup/vec4;
    %pushi/vec4 16777219, 16777217, 25;
    %cmp/x;
    %jmp/1 T_0.22, 4;
    %dup/vec4;
    %pushi/vec4 16777217, 16777216, 25;
    %cmp/x;
    %jmp/1 T_0.23, 4;
    %dup/vec4;
    %pushi/vec4 16777216, 16777216, 25;
    %cmp/x;
    %jmp/1 T_0.24, 4;
    %load/vec4 v0x5592cfcfefc0_0;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 0, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.0 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 0, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.1 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 1, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 1, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.2 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 2, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 2, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.3 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 3, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 3, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.4 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 4, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 4, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.5 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 5, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 5, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.6 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 6, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 6, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.7 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 7, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 7, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.8 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 8, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 8, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.9 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 9, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 9, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.10 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 10, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 10, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.11 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 11, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 11, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.12 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 12, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 12, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.13 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 13, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 13, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.14 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 14, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 14, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.15 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 15, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 15, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.16 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 16, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 16, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.17 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 17, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 17, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.18 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 18, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 18, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.19 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 19, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 19, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.20 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 20, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 20, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.21 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 21, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 21, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.22 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 22, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 22, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.23 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 23, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 23, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.24 ;
    %load/vec4 v0x5592cfcfefc0_0;
    %ix/load 4, 24, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %store/vec4 v0x5592cfcfec10_0, 0, 25;
    %pushi/vec4 24, 0, 5;
    %store/vec4 v0x5592cfcfeee0_0, 0, 5;
    %jmp T_0.26;
T_0.26 ;
    %pop/vec4 1;
    %jmp T_0;
    .thread T_0, $push;
    .scope S_0x5592cfc9f490;
T_1 ;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x5592cfd06280_0, 0, 1;
    %end;
    .thread T_1;
    .scope S_0x5592cfc9f490;
T_2 ;
    %pushi/vec4 1075629261, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 1059481190, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 18 "$display", "\012Sum: %16b + %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 1075629261, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 3206964838, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 23 "$display", "\012Sum: %16b + %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 3223112909, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 1059481190, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 28 "$display", "\012Sum: %16b + %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 3223112909, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 3206964838, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 33 "$display", "\012Sum: %16b + %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 1, 0, 1;
    %store/vec4 v0x5592cfd06280_0, 0, 1;
    %pushi/vec4 1075629261, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 1059481190, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 40 "$display", "\012Sum: %16b - %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 1075629261, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 3206964838, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 45 "$display", "\012Sum: %16b - %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 3223112909, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 1059481190, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 50 "$display", "\012Sum: %16b - %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %pushi/vec4 3223112909, 0, 32;
    %store/vec4 v0x5592cfd06410_0, 0, 32;
    %pushi/vec4 3206964838, 0, 32;
    %store/vec4 v0x5592cfd06510_0, 0, 32;
    %delay 5000, 0;
    %vpi_call 2 55 "$display", "\012Sum: %16b - %16b = %16b", v0x5592cfd06410_0, v0x5592cfd06510_0, v0x5592cfd06340_0 {0 0 0};
    %vpi_call 2 56 "$finish" {0 0 0};
    %end;
    .thread T_2;
# The file index is used to find the file name in the following table.
:file_names 5;
    "N/A";
    "<interactive>";
    "fpu_bench.v";
    "./fpu_2.v";
    "./exp_calc.v";