summaryrefslogtreecommitdiff
path: root/verilog/alu/v4/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'verilog/alu/v4/Makefile')
-rw-r--r--verilog/alu/v4/Makefile35
1 files changed, 0 insertions, 35 deletions
diff --git a/verilog/alu/v4/Makefile b/verilog/alu/v4/Makefile
deleted file mode 100644
index e3d78e9..0000000
--- a/verilog/alu/v4/Makefile
+++ /dev/null
@@ -1,35 +0,0 @@
-PROJ=alu4
-VERION:=r0.2
-RM = rm -rf
-COPY = cp -a
-PATH_SEP = /
-
-
-crab: ${PROJ}.dfu
-
-dfu: ${PROJ}.dfu
- dfu-util -D $<
-
-
-%.json: %.v
- yosys -p "read_verilog $<; synth_ecp5 -top ${PROJ} -json $@"
-
-%_out.config: %.json
- nextpnr-ecp5 --json $< --textcfg $@ --25k --package CSFBGA285 --lpf crab.pcf
-
-%.bit: %_out.config
- ecppack --compress --freq 38.8 --input $< --bit $@
-
-%.dfu : %.bit
- $(COPY) $< $@
- dfu-suffix -v 1209 -p 5af0 -a $@
-
-sim:
- verilator -Wall --cc --exe --build tbalu.cpp alu.v --trace && ./obj_dir/Valu > out
-simclean:
- rm -rf obj_dir/* out
-
-clean:
- $(RM) -f ${PROJ}.bit ${PROJ}_out.config ${PROJ}.json ${PROJ}.dfu
-
-.PHONY: prog clean