summaryrefslogtreecommitdiff
path: root/verilog/alu_ops.vh
blob: 258e124df085dab02d2b1e617d486ffe8ea8bb68 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
`ifndef ALU_OP
`define ALU_OP
`define NONE            4'b0000
`define SLL             4'b0001
`define SRL             4'b0010
`define SRA             4'b0011
`define ADD             4'b0100
`define SUB             4'b0110
`define AND             4'b0111
`define OR              4'b1000
`define XOR             4'b1001
`define SLTU            4'b1010
`define SLT             4'b1011
`endif