summaryrefslogtreecommitdiff
path: root/verilog/decode.v
blob: 15108b672c584543d2cce89f1113200cfd22edb6 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
`default_nettype none
`timescale 1ns/1ps

module decode
(
	input wire [6:2] opcode,
	input wire [2:0] func3,
	input wire func7,

	output reg wb_pc_d,
	output reg wb_mem_d,

	output reg wr_mem_d,
	output reg wr_reg_d,

	output reg [4:0] alu_op_d,
	output reg alu_1_d,
	output reg alu_2_d,

	output reg branch_d,
	output reg jump_d,
	output reg jump_r_d,

	output reg [3:0] i_b_j_u
);

always @ (*) begin
	wb_pc_d  = 1'bx;
	wb_mem_d = 1'bx;
	wr_mem_d = 1'bx;
	wr_reg_d = 1'bx;
	alu_op_d = 5'bxxxxx;
	alu_1_d  = 1'bx;
	alu_2_d  = 1'bx;
	branch_d = 1'bx;
	jump_d   = 1'bx;
	jump_r_d = 1'bx;
	i_b_j_u  = 4'bxxxx;
	case (opcode[6:2])
		5'b01100: // R Type
		begin
			wb_mem_d = 1'b0;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			alu_op_d = {1'b0, func7, func3};
			alu_1_d  = 1'b1;
			alu_2_d  = 1'b1;
			branch_d = 1'b0;
			jump_d   = 1'b0;
			i_b_j_u  = 4'bxxxx;
		end
		5'b00100: // Math I Type
		begin
			wb_mem_d = 1'b0;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			alu_op_d = {2'b0, func3};
			alu_1_d = 1'b1;
			alu_2_d = 1'b0;
			branch_d = 1'b0;
			jump_d = 1'b0;
			i_b_j_u = 4'b1000;
		end
		5'b00000: // Load I Type
		begin
			wb_mem_d = 1'b1;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			alu_op_d = 5'b0;
			alu_1_d  = 1'b1;
			alu_2_d  = 1'b0;
			branch_d = 1'b0;
			jump_d   = 1'b0;
			i_b_j_u  = 4'b1000;
		end
		5'b01000: // S Type
		begin
			wr_mem_d = 1'b1;
			wr_reg_d = 1'b0;
			alu_op_d = 5'b0;
			alu_1_d  = 1'b1;
			alu_2_d  = 1'b0;
			branch_d = 1'b0;
			jump_d   = 1'b0;
			i_b_j_u  = 4'b0;
		end
		5'b11000: // B Type
		begin
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b0;
			alu_op_d = {2'b0, ~func3[2], func3[2], func3[1]};
			alu_1_d  = 1'b1;
			alu_2_d  = 1'b1;
			branch_d = 1'b1;
			jump_d   = 1'b0;
			jump_r_d = 1'b0;
			i_b_j_u  = 4'b0100;
		end
		5'b11011: // JAL
		begin
			wb_pc_d  = 1'b1;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			branch_d = 1'b0;
			jump_d   = 1'b1;
			jump_r_d = 1'b0;
			i_b_j_u  =  4'b0010;
		end
		5'b11001: // JALR
		begin
			wb_pc_d  = 1'b1;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			branch_d = 1'b0;
			jump_d   = 1'b1;
			jump_r_d = 1'b1;
			i_b_j_u  = 4'b0010;
		end
		5'b01101: // LUI
		begin
			wb_mem_d = 1'b0;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			alu_op_d = 5'b10000; // Pass through
			alu_2_d  = 1'b0;
			branch_d = 1'b0;
			jump_d   = 1'b0;
			i_b_j_u  = 4'b0001;
		end
		5'b00101: // AUIPC
		begin
			wb_mem_d = 1'b0;
			wr_mem_d = 1'b0;
			wr_reg_d = 1'b1;
			alu_op_d = 5'b0; //ADD
			alu_1_d  = 1'b0;
			alu_2_d  = 1'b0;
			branch_d = 1'b0;
			jump_d   = 1'b0;
			i_b_j_u  = 4'b0001;
		end
		default: begin
		end
	endcase
end

endmodule