aboutsummaryrefslogtreecommitdiff
path: root/core/rtl/core.sv
diff options
context:
space:
mode:
authorJoshua Yun <joshua@joshuayun.com>2025-03-15 23:09:39 -0500
committerJoshua Yun <joshua@joshuayun.com>2025-03-15 23:09:39 -0500
commit6bd9f4f7ab48576d3fda98bef915162a7436866d (patch)
treea0af72467af1020f9e492b177e2d95ed8998d1dc /core/rtl/core.sv
parent59fd8c25ee1452452cb564d6fe4163b7a9394aef (diff)
downloadriscv-processor-6bd9f4f7ab48576d3fda98bef915162a7436866d.tar.gz
feat: More setting up, got a janky decode stage that prints out the instruction it receives, added dump options to fusesoc, changed instr width back th 32 from 64
Diffstat (limited to 'core/rtl/core.sv')
-rw-r--r--core/rtl/core.sv13
1 files changed, 8 insertions, 5 deletions
diff --git a/core/rtl/core.sv b/core/rtl/core.sv
index 5f64e7a..d9a51dd 100644
--- a/core/rtl/core.sv
+++ b/core/rtl/core.sv
@@ -1,10 +1,11 @@
module core
+import riscv_types::*;
(
input logic clk,
input logic rst_l,
// Instruction mem interface
- output logic [63:0] if_imem_addr_IF,
- input logic [63:0] imem_id_instr_ID
+ output logic [31:0] if_imem_addr_IF,
+ input logic [31:0] imem_id_instr_ID
// Data mem interface
);
@@ -15,8 +16,10 @@ fetch fetch0 (
.if_imem_addr_IF(if_imem_addr_IF)
);
-always @ (posedge clk) begin
- $display("Instruction: %x", imem_id_instr_ID);
-end
+decode decode0 (
+ .clk(clk),
+ .rst_l(rst_l),
+ .imem_id_instr_ID(imem_id_instr_ID)
+);
endmodule