aboutsummaryrefslogtreecommitdiff
path: root/multiplier/multiplier.v
blob: 0522800488ec2992d0b49cb925050298dfde36b8 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
module multiplier(
	input  logic [31:0] a,
	input  logic [31:0] b,
	output logic [63:0] c
);

	logic [31:0] partial_prod [0:31];
	logic [63:0] partial_sum;

	assign c = partial_sum;

	wallace_adder wadder0(partial_prod, partial_sum);
	partial_products partprod0(a, b, partial_prod);

endmodule