summaryrefslogtreecommitdiff
path: root/verilog/alu/obj_dir/Valu.h
diff options
context:
space:
mode:
authorjoshua <joshua@fedora.framework>2022-05-14 23:30:38 -0500
committerjoshua <joshua@fedora.framework>2022-05-14 23:30:38 -0500
commitb8936029065835366e9e057a219c0c5194db8662 (patch)
tree31e50944ac6e23850f92bb0e0f6d851b74307f60 /verilog/alu/obj_dir/Valu.h
parentd6b7d26cf51b4b3a7b5604f9b81bb43f55cbc33c (diff)
downloadriscv-processor-inorder-b8936029065835366e9e057a219c0c5194db8662.tar.gz
Verilog update
Diffstat (limited to 'verilog/alu/obj_dir/Valu.h')
-rw-r--r--verilog/alu/obj_dir/Valu.h73
1 files changed, 73 insertions, 0 deletions
diff --git a/verilog/alu/obj_dir/Valu.h b/verilog/alu/obj_dir/Valu.h
new file mode 100644
index 0000000..57738b5
--- /dev/null
+++ b/verilog/alu/obj_dir/Valu.h
@@ -0,0 +1,73 @@
+// Verilated -*- C++ -*-
+// DESCRIPTION: Verilator output: Primary model header
+//
+// This header should be included by all source files instantiating the design.
+// The class here is then constructed to instantiate the design.
+// See the Verilator manual for examples.
+
+#ifndef VERILATED_VALU_H_
+#define VERILATED_VALU_H_ // guard
+
+#include "verilated.h"
+
+class Valu__Syms;
+class Valu___024root;
+class VerilatedVcdC;
+
+// This class is the main interface to the Verilated model
+class Valu VL_NOT_FINAL {
+ private:
+ // Symbol table holding complete model state (owned by this class)
+ Valu__Syms* const vlSymsp;
+
+ public:
+
+ // PORTS
+ // The application code writes and reads these signals to
+ // propagate new values into/out from the Verilated model.
+ VL_IN8(&op,3,0);
+ VL_IN(&in1,31,0);
+ VL_IN(&in2,31,0);
+ VL_OUT(&out,31,0);
+
+ // CELLS
+ // Public to allow access to /* verilator public */ items.
+ // Otherwise the application code can consider these internals.
+
+ // Root instance pointer to allow access to model internals,
+ // including inlined /* verilator public_flat_* */ items.
+ Valu___024root* const rootp;
+
+ // CONSTRUCTORS
+ /// Construct the model; called by application code
+ /// If contextp is null, then the model will use the default global context
+ /// If name is "", then makes a wrapper with a
+ /// single model invisible with respect to DPI scope names.
+ explicit Valu(VerilatedContext* contextp, const char* name = "TOP");
+ explicit Valu(const char* name = "TOP");
+ /// Destroy the model; called (often implicitly) by application code
+ virtual ~Valu();
+ private:
+ VL_UNCOPYABLE(Valu); ///< Copying not allowed
+
+ public:
+ // API METHODS
+ /// Evaluate the model. Application must call when inputs change.
+ void eval() { eval_step(); }
+ /// Evaluate when calling multiple units/models per time step.
+ void eval_step();
+ /// Evaluate at end of a timestep for tracing, when using eval_step().
+ /// Application must call after all eval() and before time changes.
+ void eval_end_step() {}
+ /// Simulation complete, run final blocks. Application must call on completion.
+ void final();
+ /// Trace signals in the model; called by application code
+ void trace(VerilatedVcdC* tfp, int levels, int options = 0);
+ /// Return current simulation context for this model.
+ /// Used to get to e.g. simulation time via contextp()->time()
+ VerilatedContext* contextp() const;
+ /// Retrieve name of this model instance (as passed to constructor).
+ const char* name() const;
+} VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES);
+
+#endif // guard