summaryrefslogtreecommitdiff
path: root/verilog/alu/v6/waveform.vcd
diff options
context:
space:
mode:
authorjoshua <joshua@joshuayun.com>2022-05-16 11:00:23 -0400
committerjoshua <joshua@joshuayun.com>2022-05-16 11:00:23 -0400
commit7a8afb2b6659f88881139fcbcb02de5476952152 (patch)
tree446ca228be5746e0b6af24f44072a42289c13899 /verilog/alu/v6/waveform.vcd
parentb8936029065835366e9e057a219c0c5194db8662 (diff)
downloadriscv-processor-inorder-7a8afb2b6659f88881139fcbcb02de5476952152.tar.gz
Yes
Diffstat (limited to 'verilog/alu/v6/waveform.vcd')
-rw-r--r--verilog/alu/v6/waveform.vcd2251
1 files changed, 923 insertions, 1328 deletions
diff --git a/verilog/alu/v6/waveform.vcd b/verilog/alu/v6/waveform.vcd
index 045e968..38c5080 100644
--- a/verilog/alu/v6/waveform.vcd
+++ b/verilog/alu/v6/waveform.vcd
@@ -1,5 +1,5 @@
$version Generated by VerilatedVcd $end
-$date Sun Apr 24 19:01:39 2022 $end
+$date Sun May 15 01:02:15 2022 $end
$timescale 1ns $end
$scope module TOP $end
@@ -7,18 +7,14 @@ $timescale 1ns $end
$var wire 32 $ alu_in_2 [31:0] $end
$var wire 4 % alu_op_i [3:0] $end
$var wire 32 & alu_output [31:0] $end
- $var wire 4 ( debugop [3:0] $end
- $var wire 32 ' debugsum [31:0] $end
$scope module alu6 $end
$var wire 32 # alu_in_1 [31:0] $end
$var wire 32 $ alu_in_2 [31:0] $end
$var wire 4 % alu_op_i [3:0] $end
$var wire 32 & alu_output [31:0] $end
- $var wire 32 ) complement2 [31:0] $end
- $var wire 4 ( debugop [3:0] $end
- $var wire 32 ' debugsum [31:0] $end
- $var wire 32 + right [31:0] $end
- $var wire 32 * sum [31:0] $end
+ $var wire 32 ' complement2 [31:0] $end
+ $var wire 32 ) right [31:0] $end
+ $var wire 32 ( sum [31:0] $end
$upscope $end
$upscope $end
$enddefinitions $end
@@ -29,2308 +25,1907 @@ b11111111111111111111111111110110 #
b11111111111111111111111111110110 $
b1101 %
b11111111111111111111111111111111 &
-b00000000000000000000000000000000 '
-b1101 (
-b00000000000000000000000000001010 )
-b00000000000000000000000000000000 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000000000 (
+b11111111111111111111111111111111 )
#2
b11111111111111111111111111110111 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000001001 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000001001 '
+b11111111111111111111111111111111 (
#3
b11111111111111111111111111111000 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000001000 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000001000 '
+b11111111111111111111111111111110 (
#4
b11111111111111111111111111111001 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000111 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000111 '
+b11111111111111111111111111111101 (
#5
b11111111111111111111111111111010 $
-b11111111111111111111111111111100 '
-b00000000000000000000000000000110 )
-b11111111111111111111111111111100 *
+b00000000000000000000000000000110 '
+b11111111111111111111111111111100 (
#6
b11111111111111111111111111111011 $
-b11111111111111111111111111111011 '
-b00000000000000000000000000000101 )
-b11111111111111111111111111111011 *
+b00000000000000000000000000000101 '
+b11111111111111111111111111111011 (
#7
b11111111111111111111111111111100 $
-b11111111111111111111111111111010 '
-b00000000000000000000000000000100 )
-b11111111111111111111111111111010 *
+b00000000000000000000000000000100 '
+b11111111111111111111111111111010 (
#8
b11111111111111111111111111111101 $
-b11111111111111111111111111111001 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111001 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111001 (
#9
b11111111111111111111111111111110 $
-b11111111111111111111111111111000 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111000 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111000 (
#10
b11111111111111111111111111111111 $
-b11111111111111111111111111110111 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111110111 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111110111 (
#11
b00000000000000000000000000000000 $
b11111111111111111111111111110110 &
-b11111111111111111111111111110110 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111110110 *
-b11111111111111111111111111110110 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111110110 (
+b11111111111111111111111111110110 )
#12
b00000000000000000000000000000001 $
b11111111111111111111111111111011 &
-b11111111111111111111111111110101 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111110101 *
-b11111111111111111111111111111011 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111110101 (
+b11111111111111111111111111111011 )
#13
b00000000000000000000000000000010 $
b11111111111111111111111111111101 &
-b11111111111111111111111111110100 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111110100 *
-b11111111111111111111111111111101 +
+b11111111111111111111111111111110 '
+b11111111111111111111111111110100 (
+b11111111111111111111111111111101 )
#14
b00000000000000000000000000000011 $
b11111111111111111111111111111110 &
-b11111111111111111111111111110011 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111110011 *
-b11111111111111111111111111111110 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111110011 (
+b11111111111111111111111111111110 )
#15
b00000000000000000000000000000100 $
b11111111111111111111111111111111 &
-b11111111111111111111111111110010 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111110010 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111100 '
+b11111111111111111111111111110010 (
+b11111111111111111111111111111111 )
#16
b00000000000000000000000000000101 $
-b11111111111111111111111111110001 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110001 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110001 (
#17
b00000000000000000000000000000110 $
-b11111111111111111111111111110000 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110000 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110000 (
#18
b00000000000000000000000000000111 $
-b11111111111111111111111111101111 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111101111 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111101111 (
#19
b00000000000000000000000000001000 $
-b11111111111111111111111111101110 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111101110 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111101110 (
#20
b00000000000000000000000000001001 $
-b11111111111111111111111111101101 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111101101 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111101101 (
#21
b11111111111111111111111111110111 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000001 (
#22
b11111111111111111111111111110111 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000000 (
#23
b11111111111111111111111111111000 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000001000 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000001000 '
+b11111111111111111111111111111111 (
#24
b11111111111111111111111111111001 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000111 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000111 '
+b11111111111111111111111111111110 (
#25
b11111111111111111111111111111010 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000110 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000110 '
+b11111111111111111111111111111101 (
#26
b11111111111111111111111111111011 $
-b11111111111111111111111111111100 '
-b00000000000000000000000000000101 )
-b11111111111111111111111111111100 *
+b00000000000000000000000000000101 '
+b11111111111111111111111111111100 (
#27
b11111111111111111111111111111100 $
-b11111111111111111111111111111011 '
-b00000000000000000000000000000100 )
-b11111111111111111111111111111011 *
+b00000000000000000000000000000100 '
+b11111111111111111111111111111011 (
#28
b11111111111111111111111111111101 $
-b11111111111111111111111111111010 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111010 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111010 (
#29
b11111111111111111111111111111110 $
-b11111111111111111111111111111001 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111001 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111001 (
#30
b11111111111111111111111111111111 $
-b11111111111111111111111111111000 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111000 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111000 (
#31
b00000000000000000000000000000000 $
b11111111111111111111111111110111 &
-b11111111111111111111111111110111 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111110111 *
-b11111111111111111111111111110111 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111110111 (
+b11111111111111111111111111110111 )
#32
b00000000000000000000000000000001 $
b11111111111111111111111111111011 &
-b11111111111111111111111111110110 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111110110 *
-b11111111111111111111111111111011 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111110110 (
+b11111111111111111111111111111011 )
#33
b00000000000000000000000000000010 $
b11111111111111111111111111111101 &
-b11111111111111111111111111110101 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111110101 *
-b11111111111111111111111111111101 +
+b11111111111111111111111111111110 '
+b11111111111111111111111111110101 (
+b11111111111111111111111111111101 )
#34
b00000000000000000000000000000011 $
b11111111111111111111111111111110 &
-b11111111111111111111111111110100 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111110100 *
-b11111111111111111111111111111110 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111110100 (
+b11111111111111111111111111111110 )
#35
b00000000000000000000000000000100 $
b11111111111111111111111111111111 &
-b11111111111111111111111111110011 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111110011 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111100 '
+b11111111111111111111111111110011 (
+b11111111111111111111111111111111 )
#36
b00000000000000000000000000000101 $
-b11111111111111111111111111110010 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110010 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110010 (
#37
b00000000000000000000000000000110 $
-b11111111111111111111111111110001 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110001 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110001 (
#38
b00000000000000000000000000000111 $
-b11111111111111111111111111110000 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110000 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110000 (
#39
b00000000000000000000000000001000 $
-b11111111111111111111111111101111 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111101111 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111101111 (
#40
b00000000000000000000000000001001 $
-b11111111111111111111111111101110 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111101110 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111101110 (
#41
b11111111111111111111111111111000 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000010 (
#42
b11111111111111111111111111110111 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000001 (
#43
b11111111111111111111111111111000 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000000 (
#44
b11111111111111111111111111111001 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000111 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000111 '
+b11111111111111111111111111111111 (
#45
b11111111111111111111111111111010 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000110 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000110 '
+b11111111111111111111111111111110 (
#46
b11111111111111111111111111111011 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000101 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000101 '
+b11111111111111111111111111111101 (
#47
b11111111111111111111111111111100 $
-b11111111111111111111111111111100 '
-b00000000000000000000000000000100 )
-b11111111111111111111111111111100 *
+b00000000000000000000000000000100 '
+b11111111111111111111111111111100 (
#48
b11111111111111111111111111111101 $
-b11111111111111111111111111111011 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111011 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111011 (
#49
b11111111111111111111111111111110 $
-b11111111111111111111111111111010 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111010 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111010 (
#50
b11111111111111111111111111111111 $
-b11111111111111111111111111111001 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111001 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111001 (
#51
b00000000000000000000000000000000 $
b11111111111111111111111111111000 &
-b11111111111111111111111111111000 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111000 *
-b11111111111111111111111111111000 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111000 (
+b11111111111111111111111111111000 )
#52
b00000000000000000000000000000001 $
b11111111111111111111111111111100 &
-b11111111111111111111111111110111 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111110111 *
-b11111111111111111111111111111100 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111110111 (
+b11111111111111111111111111111100 )
#53
b00000000000000000000000000000010 $
b11111111111111111111111111111110 &
-b11111111111111111111111111110110 '
+b11111111111111111111111111111110 '
+b11111111111111111111111111110110 (
b11111111111111111111111111111110 )
-b11111111111111111111111111110110 *
-b11111111111111111111111111111110 +
#54
b00000000000000000000000000000011 $
b11111111111111111111111111111111 &
-b11111111111111111111111111110101 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111110101 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111110101 (
+b11111111111111111111111111111111 )
#55
b00000000000000000000000000000100 $
-b11111111111111111111111111110100 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111110100 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111110100 (
#56
b00000000000000000000000000000101 $
-b11111111111111111111111111110011 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110011 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110011 (
#57
b00000000000000000000000000000110 $
-b11111111111111111111111111110010 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110010 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110010 (
#58
b00000000000000000000000000000111 $
-b11111111111111111111111111110001 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110001 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110001 (
#59
b00000000000000000000000000001000 $
-b11111111111111111111111111110000 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110000 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110000 (
#60
b00000000000000000000000000001001 $
-b11111111111111111111111111101111 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111101111 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111101111 (
#61
b11111111111111111111111111111001 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000011 (
#62
b11111111111111111111111111110111 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000010 (
#63
b11111111111111111111111111111000 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000001 (
#64
b11111111111111111111111111111001 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000000 (
#65
b11111111111111111111111111111010 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000110 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000110 '
+b11111111111111111111111111111111 (
#66
b11111111111111111111111111111011 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000101 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000101 '
+b11111111111111111111111111111110 (
#67
b11111111111111111111111111111100 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000100 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000100 '
+b11111111111111111111111111111101 (
#68
b11111111111111111111111111111101 $
-b11111111111111111111111111111100 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111100 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111100 (
#69
b11111111111111111111111111111110 $
-b11111111111111111111111111111011 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111011 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111011 (
#70
b11111111111111111111111111111111 $
-b11111111111111111111111111111010 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111010 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111010 (
#71
b00000000000000000000000000000000 $
b11111111111111111111111111111001 &
-b11111111111111111111111111111001 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111001 *
-b11111111111111111111111111111001 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111001 (
+b11111111111111111111111111111001 )
#72
b00000000000000000000000000000001 $
b11111111111111111111111111111100 &
-b11111111111111111111111111111000 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111000 *
-b11111111111111111111111111111100 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111111000 (
+b11111111111111111111111111111100 )
#73
b00000000000000000000000000000010 $
b11111111111111111111111111111110 &
-b11111111111111111111111111110111 '
+b11111111111111111111111111111110 '
+b11111111111111111111111111110111 (
b11111111111111111111111111111110 )
-b11111111111111111111111111110111 *
-b11111111111111111111111111111110 +
#74
b00000000000000000000000000000011 $
b11111111111111111111111111111111 &
-b11111111111111111111111111110110 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111110110 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111110110 (
+b11111111111111111111111111111111 )
#75
b00000000000000000000000000000100 $
-b11111111111111111111111111110101 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111110101 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111110101 (
#76
b00000000000000000000000000000101 $
-b11111111111111111111111111110100 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110100 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110100 (
#77
b00000000000000000000000000000110 $
-b11111111111111111111111111110011 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110011 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110011 (
#78
b00000000000000000000000000000111 $
-b11111111111111111111111111110010 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110010 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110010 (
#79
b00000000000000000000000000001000 $
-b11111111111111111111111111110001 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110001 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110001 (
#80
b00000000000000000000000000001001 $
-b11111111111111111111111111110000 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110000 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110000 (
#81
b11111111111111111111111111111010 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000100 (
#82
b11111111111111111111111111110111 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000011 (
#83
b11111111111111111111111111111000 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000010 (
#84
b11111111111111111111111111111001 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000001 (
#85
b11111111111111111111111111111010 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000000 (
#86
b11111111111111111111111111111011 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000101 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000101 '
+b11111111111111111111111111111111 (
#87
b11111111111111111111111111111100 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000100 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000100 '
+b11111111111111111111111111111110 (
#88
b11111111111111111111111111111101 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111101 (
#89
b11111111111111111111111111111110 $
-b11111111111111111111111111111100 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111100 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111100 (
#90
b11111111111111111111111111111111 $
-b11111111111111111111111111111011 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111011 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111011 (
#91
b00000000000000000000000000000000 $
b11111111111111111111111111111010 &
-b11111111111111111111111111111010 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111010 *
-b11111111111111111111111111111010 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111010 (
+b11111111111111111111111111111010 )
#92
b00000000000000000000000000000001 $
b11111111111111111111111111111101 &
-b11111111111111111111111111111001 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111001 *
-b11111111111111111111111111111101 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111111001 (
+b11111111111111111111111111111101 )
#93
b00000000000000000000000000000010 $
b11111111111111111111111111111110 &
-b11111111111111111111111111111000 '
+b11111111111111111111111111111110 '
+b11111111111111111111111111111000 (
b11111111111111111111111111111110 )
-b11111111111111111111111111111000 *
-b11111111111111111111111111111110 +
#94
b00000000000000000000000000000011 $
b11111111111111111111111111111111 &
-b11111111111111111111111111110111 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111110111 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111110111 (
+b11111111111111111111111111111111 )
#95
b00000000000000000000000000000100 $
-b11111111111111111111111111110110 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111110110 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111110110 (
#96
b00000000000000000000000000000101 $
-b11111111111111111111111111110101 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110101 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110101 (
#97
b00000000000000000000000000000110 $
-b11111111111111111111111111110100 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110100 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110100 (
#98
b00000000000000000000000000000111 $
-b11111111111111111111111111110011 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110011 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110011 (
#99
b00000000000000000000000000001000 $
-b11111111111111111111111111110010 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110010 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110010 (
#100
b00000000000000000000000000001001 $
-b11111111111111111111111111110001 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110001 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110001 (
#101
b11111111111111111111111111111011 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000101 (
#102
b11111111111111111111111111110111 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000100 (
#103
b11111111111111111111111111111000 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000011 (
#104
b11111111111111111111111111111001 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000010 (
#105
b11111111111111111111111111111010 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000001 (
#106
b11111111111111111111111111111011 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000000 (
#107
b11111111111111111111111111111100 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000100 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000100 '
+b11111111111111111111111111111111 (
#108
b11111111111111111111111111111101 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111110 (
#109
b11111111111111111111111111111110 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111101 (
#110
b11111111111111111111111111111111 $
-b11111111111111111111111111111100 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111100 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111100 (
#111
b00000000000000000000000000000000 $
b11111111111111111111111111111011 &
-b11111111111111111111111111111011 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111011 *
-b11111111111111111111111111111011 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111011 (
+b11111111111111111111111111111011 )
#112
b00000000000000000000000000000001 $
b11111111111111111111111111111101 &
-b11111111111111111111111111111010 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111010 *
-b11111111111111111111111111111101 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111111010 (
+b11111111111111111111111111111101 )
#113
b00000000000000000000000000000010 $
b11111111111111111111111111111110 &
-b11111111111111111111111111111001 '
+b11111111111111111111111111111110 '
+b11111111111111111111111111111001 (
b11111111111111111111111111111110 )
-b11111111111111111111111111111001 *
-b11111111111111111111111111111110 +
#114
b00000000000000000000000000000011 $
b11111111111111111111111111111111 &
-b11111111111111111111111111111000 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111000 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111111000 (
+b11111111111111111111111111111111 )
#115
b00000000000000000000000000000100 $
-b11111111111111111111111111110111 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111110111 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111110111 (
#116
b00000000000000000000000000000101 $
-b11111111111111111111111111110110 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110110 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110110 (
#117
b00000000000000000000000000000110 $
-b11111111111111111111111111110101 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110101 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110101 (
#118
b00000000000000000000000000000111 $
-b11111111111111111111111111110100 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110100 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110100 (
#119
b00000000000000000000000000001000 $
-b11111111111111111111111111110011 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110011 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110011 (
#120
b00000000000000000000000000001001 $
-b11111111111111111111111111110010 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110010 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110010 (
#121
b11111111111111111111111111111100 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000110 (
#122
b11111111111111111111111111110111 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000101 (
#123
b11111111111111111111111111111000 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000100 (
#124
b11111111111111111111111111111001 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000011 (
#125
b11111111111111111111111111111010 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000010 (
#126
b11111111111111111111111111111011 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000001 (
#127
b11111111111111111111111111111100 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000000 (
#128
b11111111111111111111111111111101 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000011 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000011 '
+b11111111111111111111111111111111 (
#129
b11111111111111111111111111111110 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111110 (
#130
b11111111111111111111111111111111 $
-b11111111111111111111111111111101 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111101 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111101 (
#131
b00000000000000000000000000000000 $
b11111111111111111111111111111100 &
-b11111111111111111111111111111100 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111100 *
-b11111111111111111111111111111100 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111100 (
+b11111111111111111111111111111100 )
#132
b00000000000000000000000000000001 $
b11111111111111111111111111111110 &
-b11111111111111111111111111111011 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111011 *
-b11111111111111111111111111111110 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111111011 (
+b11111111111111111111111111111110 )
#133
b00000000000000000000000000000010 $
b11111111111111111111111111111111 &
-b11111111111111111111111111111010 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111111010 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111110 '
+b11111111111111111111111111111010 (
+b11111111111111111111111111111111 )
#134
b00000000000000000000000000000011 $
-b11111111111111111111111111111001 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111001 *
+b11111111111111111111111111111101 '
+b11111111111111111111111111111001 (
#135
b00000000000000000000000000000100 $
-b11111111111111111111111111111000 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111000 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111111000 (
#136
b00000000000000000000000000000101 $
-b11111111111111111111111111110111 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111110111 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111110111 (
#137
b00000000000000000000000000000110 $
-b11111111111111111111111111110110 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110110 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110110 (
#138
b00000000000000000000000000000111 $
-b11111111111111111111111111110101 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110101 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110101 (
#139
b00000000000000000000000000001000 $
-b11111111111111111111111111110100 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110100 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110100 (
#140
b00000000000000000000000000001001 $
-b11111111111111111111111111110011 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110011 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110011 (
#141
b11111111111111111111111111111101 #
b11111111111111111111111111110110 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000000111 (
#142
b11111111111111111111111111110111 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000110 (
#143
b11111111111111111111111111111000 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000101 (
#144
b11111111111111111111111111111001 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000100 (
#145
b11111111111111111111111111111010 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000011 (
#146
b11111111111111111111111111111011 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000010 (
#147
b11111111111111111111111111111100 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000001 (
#148
b11111111111111111111111111111101 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000000 (
#149
b11111111111111111111111111111110 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000010 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000010 '
+b11111111111111111111111111111111 (
#150
b11111111111111111111111111111111 $
-b11111111111111111111111111111110 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111110 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111110 (
#151
b00000000000000000000000000000000 $
b11111111111111111111111111111101 &
-b11111111111111111111111111111101 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111101 *
-b11111111111111111111111111111101 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111101 (
+b11111111111111111111111111111101 )
#152
b00000000000000000000000000000001 $
b11111111111111111111111111111110 &
-b11111111111111111111111111111100 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111100 *
-b11111111111111111111111111111110 +
+b11111111111111111111111111111111 '
+b11111111111111111111111111111100 (
+b11111111111111111111111111111110 )
#153
b00000000000000000000000000000010 $
b11111111111111111111111111111111 &
-b11111111111111111111111111111011 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111111011 *
-b11111111111111111111111111111111 +
+b11111111111111111111111111111110 '
+b11111111111111111111111111111011 (
+b11111111111111111111111111111111 )
#154
b00000000000000000000000000000011 $
-b11111111111111111111111111111010 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111010 *
+b11111111111111111111111111111101 '
+b11111111111111111111111111111010 (
#155
b00000000000000000000000000000100 $
-b11111111111111111111111111111001 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111001 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111111001 (
#156
b00000000000000000000000000000101 $
-b11111111111111111111111111111000 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111000 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111111000 (
#157
b00000000000000000000000000000110 $
-b11111111111111111111111111110111 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111110111 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111110111 (
#158
b00000000000000000000000000000111 $
-b11111111111111111111111111110110 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110110 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110110 (
#159
b00000000000000000000000000001000 $
-b11111111111111111111111111110101 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110101 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110101 (
#160
b00000000000000000000000000001001 $
-b11111111111111111111111111110100 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110100 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110100 (
#161
b11111111111111111111111111111110 #
b11111111111111111111111111110110 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000001000 (
#162
b11111111111111111111111111110111 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000000111 (
#163
b11111111111111111111111111111000 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000110 (
#164
b11111111111111111111111111111001 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000101 (
#165
b11111111111111111111111111111010 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000100 (
#166
b11111111111111111111111111111011 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000011 (
#167
b11111111111111111111111111111100 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000010 (
#168
b11111111111111111111111111111101 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000001 (
#169
b11111111111111111111111111111110 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000000 (
#170
b11111111111111111111111111111111 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000001 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000001 '
+b11111111111111111111111111111111 (
#171
b00000000000000000000000000000000 $
b11111111111111111111111111111110 &
-b11111111111111111111111111111110 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111110 *
-b11111111111111111111111111111110 +
+b00000000000000000000000000000000 '
+b11111111111111111111111111111110 (
+b11111111111111111111111111111110 )
#172
b00000000000000000000000000000001 $
b11111111111111111111111111111111 &
-b11111111111111111111111111111101 '
+b11111111111111111111111111111111 '
+b11111111111111111111111111111101 (
b11111111111111111111111111111111 )
-b11111111111111111111111111111101 *
-b11111111111111111111111111111111 +
#173
b00000000000000000000000000000010 $
-b11111111111111111111111111111100 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111111100 *
+b11111111111111111111111111111110 '
+b11111111111111111111111111111100 (
#174
b00000000000000000000000000000011 $
-b11111111111111111111111111111011 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111011 *
+b11111111111111111111111111111101 '
+b11111111111111111111111111111011 (
#175
b00000000000000000000000000000100 $
-b11111111111111111111111111111010 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111010 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111111010 (
#176
b00000000000000000000000000000101 $
-b11111111111111111111111111111001 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111001 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111111001 (
#177
b00000000000000000000000000000110 $
-b11111111111111111111111111111000 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111000 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111111000 (
#178
b00000000000000000000000000000111 $
-b11111111111111111111111111110111 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111110111 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111110111 (
#179
b00000000000000000000000000001000 $
-b11111111111111111111111111110110 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110110 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110110 (
#180
b00000000000000000000000000001001 $
-b11111111111111111111111111110101 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110101 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110101 (
#181
b11111111111111111111111111111111 #
b11111111111111111111111111110110 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000001010 '
+b00000000000000000000000000001001 (
#182
b11111111111111111111111111110111 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001000 (
#183
b11111111111111111111111111111000 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000000111 (
#184
b11111111111111111111111111111001 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000000110 (
#185
b11111111111111111111111111111010 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000101 (
#186
b11111111111111111111111111111011 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000100 (
#187
b11111111111111111111111111111100 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000011 (
#188
b11111111111111111111111111111101 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000010 (
#189
b11111111111111111111111111111110 $
-b00000000000000000000000000000001 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000001 (
#190
b11111111111111111111111111111111 $
-b00000000000000000000000000000000 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000000 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000000 (
#191
b00000000000000000000000000000000 $
-b11111111111111111111111111111111 '
-b00000000000000000000000000000000 )
-b11111111111111111111111111111111 *
+b00000000000000000000000000000000 '
+b11111111111111111111111111111111 (
#192
b00000000000000000000000000000001 $
-b11111111111111111111111111111110 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111110 *
+b11111111111111111111111111111111 '
+b11111111111111111111111111111110 (
#193
b00000000000000000000000000000010 $
-b11111111111111111111111111111101 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111111101 *
+b11111111111111111111111111111110 '
+b11111111111111111111111111111101 (
#194
b00000000000000000000000000000011 $
-b11111111111111111111111111111100 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111100 *
+b11111111111111111111111111111101 '
+b11111111111111111111111111111100 (
#195
b00000000000000000000000000000100 $
-b11111111111111111111111111111011 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111011 *
+b11111111111111111111111111111100 '
+b11111111111111111111111111111011 (
#196
b00000000000000000000000000000101 $
-b11111111111111111111111111111010 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111010 *
+b11111111111111111111111111111011 '
+b11111111111111111111111111111010 (
#197
b00000000000000000000000000000110 $
-b11111111111111111111111111111001 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111001 *
+b11111111111111111111111111111010 '
+b11111111111111111111111111111001 (
#198
b00000000000000000000000000000111 $
-b11111111111111111111111111111000 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111000 *
+b11111111111111111111111111111001 '
+b11111111111111111111111111111000 (
#199
b00000000000000000000000000001000 $
-b11111111111111111111111111110111 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111110111 *
+b11111111111111111111111111111000 '
+b11111111111111111111111111110111 (
#200
b00000000000000000000000000001001 $
-b11111111111111111111111111110110 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110110 *
+b11111111111111111111111111110111 '
+b11111111111111111111111111110110 (
#201
b00000000000000000000000000000000 #
b11111111111111111111111111110110 $
b00000000000000000000000000001010 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000001010 (
#202
b11111111111111111111111111110111 $
b00000000000000000000000000001001 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000001001 (
#203
b11111111111111111111111111111000 $
b00000000000000000000000000001000 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000001000 (
#204
b11111111111111111111111111111001 $
b00000000000000000000000000000111 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000111 (
#205
b11111111111111111111111111111010 $
b00000000000000000000000000000110 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000110 (
#206
b11111111111111111111111111111011 $
b00000000000000000000000000000101 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000101 (
#207
b11111111111111111111111111111100 $
b00000000000000000000000000000100 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000100 (
#208
b11111111111111111111111111111101 $
b00000000000000000000000000000011 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000011 (
#209
b11111111111111111111111111111110 $
b00000000000000000000000000000010 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000010 (
#210
b11111111111111111111111111111111 $
b00000000000000000000000000000001 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000001 *
+b00000000000000000000000000000001 (
#211
b00000000000000000000000000000000 $
b10000000000000000000000000000000 &
b00000000000000000000000000000000 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000000 *
-b10000000000000000000000000000000 +
+b00000000000000000000000000000000 (
+b10000000000000000000000000000000 )
#212
b00000000000000000000000000000001 $
b11000000000000000000000000000000 &
b11111111111111111111111111111111 '
-b11111111111111111111111111111111 )
-b11111111111111111111111111111111 *
-b11000000000000000000000000000000 +
+b11111111111111111111111111111111 (
+b11000000000000000000000000000000 )
#213
b00000000000000000000000000000010 $
b11100000000000000000000000000000 &
b11111111111111111111111111111110 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111111110 *
-b11100000000000000000000000000000 +
+b11111111111111111111111111111110 (
+b11100000000000000000000000000000 )
#214
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
b11111111111111111111111111111101 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111101 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 (
+b11110000000000000000000000000000 )
#215
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
b11111111111111111111111111111100 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111100 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 (
+b11111000000000000000000000000000 )
#216
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
b11111111111111111111111111111011 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111011 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 (
+b11111100000000000000000000000000 )
#217
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
b11111111111111111111111111111010 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111010 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 (
+b11111110000000000000000000000000 )
#218
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
b11111111111111111111111111111001 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111001 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 (
+b11111111000000000000000000000000 )
#219
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
b11111111111111111111111111111000 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111000 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 (
+b11111111100000000000000000000000 )
#220
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
b11111111111111111111111111110111 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111110111 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 (
+b11111111110000000000000000000000 )
#221
b00000000000000000000000000000001 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000001011 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001011 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000001011 (
+b11111111111111111111111111111111 )
#222
b11111111111111111111111111110111 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001010 (
#223
b11111111111111111111111111111000 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001001 (
#224
b11111111111111111111111111111001 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001000 (
#225
b11111111111111111111111111111010 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000000111 (
#226
b11111111111111111111111111111011 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000110 (
#227
b11111111111111111111111111111100 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000101 (
#228
b11111111111111111111111111111101 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000100 (
#229
b11111111111111111111111111111110 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000011 (
#230
b11111111111111111111111111111111 $
-b00000000000000000000000000000010 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000010 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000010 (
#231
b00000000000000000000000000000000 $
b10000000000000000000000000000001 &
-b00000000000000000000000000000001 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000001 *
-b10000000000000000000000000000001 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000001 (
+b10000000000000000000000000000001 )
#232
b00000000000000000000000000000001 $
b11000000000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000000 *
-b11000000000000000000000000000000 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000000 (
+b11000000000000000000000000000000 )
#233
b00000000000000000000000000000010 $
b11100000000000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111110 )
-b11111111111111111111111111111111 *
-b11100000000000000000000000000000 +
+b11111111111111111111111111111110 '
+b11111111111111111111111111111111 (
+b11100000000000000000000000000000 )
#234
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111110 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111111110 (
+b11110000000000000000000000000000 )
#235
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b11111111111111111111111111111101 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111101 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b11111111111111111111111111111101 (
+b11111000000000000000000000000000 )
#236
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b11111111111111111111111111111100 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111100 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b11111111111111111111111111111100 (
+b11111100000000000000000000000000 )
#237
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b11111111111111111111111111111011 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111011 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b11111111111111111111111111111011 (
+b11111110000000000000000000000000 )
#238
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b11111111111111111111111111111010 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111010 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b11111111111111111111111111111010 (
+b11111111000000000000000000000000 )
#239
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111001 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111001 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111001 (
+b11111111100000000000000000000000 )
#240
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111000 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111000 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111000 (
+b11111111110000000000000000000000 )
#241
b00000000000000000000000000000010 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000001100 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001100 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000001100 (
+b11111111111111111111111111111111 )
#242
b11111111111111111111111111110111 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001011 (
#243
b11111111111111111111111111111000 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001010 (
#244
b11111111111111111111111111111001 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001001 (
#245
b11111111111111111111111111111010 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001000 (
#246
b11111111111111111111111111111011 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000000111 (
#247
b11111111111111111111111111111100 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000110 (
#248
b11111111111111111111111111111101 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000101 (
#249
b11111111111111111111111111111110 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000100 (
#250
b11111111111111111111111111111111 $
-b00000000000000000000000000000011 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000011 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000011 (
#251
b00000000000000000000000000000000 $
b10000000000000000000000000000010 &
-b00000000000000000000000000000010 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000010 *
-b10000000000000000000000000000010 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000010 (
+b10000000000000000000000000000010 )
#252
b00000000000000000000000000000001 $
b11000000000000000000000000000001 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000001 *
-b11000000000000000000000000000001 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000001 (
+b11000000000000000000000000000001 )
#253
b00000000000000000000000000000010 $
b11100000000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000000 *
-b11100000000000000000000000000000 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000000 (
+b11100000000000000000000000000000 )
#254
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111101 )
-b11111111111111111111111111111111 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b11111111111111111111111111111111 (
+b11110000000000000000000000000000 )
#255
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111110 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b11111111111111111111111111111110 (
+b11111000000000000000000000000000 )
#256
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b11111111111111111111111111111101 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111101 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b11111111111111111111111111111101 (
+b11111100000000000000000000000000 )
#257
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b11111111111111111111111111111100 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111100 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b11111111111111111111111111111100 (
+b11111110000000000000000000000000 )
#258
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b11111111111111111111111111111011 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111011 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b11111111111111111111111111111011 (
+b11111111000000000000000000000000 )
#259
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111010 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111010 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111010 (
+b11111111100000000000000000000000 )
#260
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111001 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111001 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111001 (
+b11111111110000000000000000000000 )
#261
b00000000000000000000000000000011 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000001101 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001101 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000001101 (
+b11111111111111111111111111111111 )
#262
b11111111111111111111111111110111 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001100 (
#263
b11111111111111111111111111111000 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001011 (
#264
b11111111111111111111111111111001 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001010 (
#265
b11111111111111111111111111111010 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001001 (
#266
b11111111111111111111111111111011 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001000 (
#267
b11111111111111111111111111111100 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000000111 (
#268
b11111111111111111111111111111101 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000110 (
#269
b11111111111111111111111111111110 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000101 (
#270
b11111111111111111111111111111111 $
-b00000000000000000000000000000100 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000100 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000100 (
#271
b00000000000000000000000000000000 $
b10000000000000000000000000000011 &
-b00000000000000000000000000000011 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000011 *
-b10000000000000000000000000000011 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000011 (
+b10000000000000000000000000000011 )
#272
b00000000000000000000000000000001 $
b11000000000000000000000000000001 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000010 *
-b11000000000000000000000000000001 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000010 (
+b11000000000000000000000000000001 )
#273
b00000000000000000000000000000010 $
b11100000000000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000001 *
-b11100000000000000000000000000000 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000001 (
+b11100000000000000000000000000000 )
#274
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000000 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000000 (
+b11110000000000000000000000000000 )
#275
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111100 )
-b11111111111111111111111111111111 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b11111111111111111111111111111111 (
+b11111000000000000000000000000000 )
#276
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111110 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b11111111111111111111111111111110 (
+b11111100000000000000000000000000 )
#277
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b11111111111111111111111111111101 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111101 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b11111111111111111111111111111101 (
+b11111110000000000000000000000000 )
#278
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b11111111111111111111111111111100 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111100 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b11111111111111111111111111111100 (
+b11111111000000000000000000000000 )
#279
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111011 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111011 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111011 (
+b11111111100000000000000000000000 )
#280
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111010 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111010 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111010 (
+b11111111110000000000000000000000 )
#281
b00000000000000000000000000000100 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000001110 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001110 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000001110 (
+b11111111111111111111111111111111 )
#282
b11111111111111111111111111110111 $
-b00000000000000000000000000001101 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001101 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001101 (
#283
b11111111111111111111111111111000 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001100 (
#284
b11111111111111111111111111111001 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001011 (
#285
b11111111111111111111111111111010 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001010 (
#286
b11111111111111111111111111111011 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001001 (
#287
b11111111111111111111111111111100 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000001000 (
#288
b11111111111111111111111111111101 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000000111 (
#289
b11111111111111111111111111111110 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000110 (
#290
b11111111111111111111111111111111 $
-b00000000000000000000000000000101 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000101 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000101 (
#291
b00000000000000000000000000000000 $
b10000000000000000000000000000100 &
-b00000000000000000000000000000100 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000100 *
-b10000000000000000000000000000100 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000100 (
+b10000000000000000000000000000100 )
#292
b00000000000000000000000000000001 $
b11000000000000000000000000000010 &
-b00000000000000000000000000000011 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000011 *
-b11000000000000000000000000000010 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000011 (
+b11000000000000000000000000000010 )
#293
b00000000000000000000000000000010 $
b11100000000000000000000000000001 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000010 *
-b11100000000000000000000000000001 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000010 (
+b11100000000000000000000000000001 )
#294
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000001 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000001 (
+b11110000000000000000000000000000 )
#295
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111100 )
-b00000000000000000000000000000000 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b00000000000000000000000000000000 (
+b11111000000000000000000000000000 )
#296
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111011 )
-b11111111111111111111111111111111 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b11111111111111111111111111111111 (
+b11111100000000000000000000000000 )
#297
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111110 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b11111111111111111111111111111110 (
+b11111110000000000000000000000000 )
#298
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b11111111111111111111111111111101 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111101 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b11111111111111111111111111111101 (
+b11111111000000000000000000000000 )
#299
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111100 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111100 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111100 (
+b11111111100000000000000000000000 )
#300
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111011 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111011 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111011 (
+b11111111110000000000000000000000 )
#301
b00000000000000000000000000000101 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000001111 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000001111 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000001111 (
+b11111111111111111111111111111111 )
#302
b11111111111111111111111111110111 $
-b00000000000000000000000000001110 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001110 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001110 (
#303
b11111111111111111111111111111000 $
-b00000000000000000000000000001101 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001101 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001101 (
#304
b11111111111111111111111111111001 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001100 (
#305
b11111111111111111111111111111010 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001011 (
#306
b11111111111111111111111111111011 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001010 (
#307
b11111111111111111111111111111100 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000001001 (
#308
b11111111111111111111111111111101 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000001000 (
#309
b11111111111111111111111111111110 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000000111 (
#310
b11111111111111111111111111111111 $
-b00000000000000000000000000000110 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000110 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000110 (
#311
b00000000000000000000000000000000 $
b10000000000000000000000000000101 &
-b00000000000000000000000000000101 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000101 *
-b10000000000000000000000000000101 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000101 (
+b10000000000000000000000000000101 )
#312
b00000000000000000000000000000001 $
b11000000000000000000000000000010 &
-b00000000000000000000000000000100 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000100 *
-b11000000000000000000000000000010 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000100 (
+b11000000000000000000000000000010 )
#313
b00000000000000000000000000000010 $
b11100000000000000000000000000001 &
-b00000000000000000000000000000011 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000011 *
-b11100000000000000000000000000001 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000011 (
+b11100000000000000000000000000001 )
#314
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000010 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000010 (
+b11110000000000000000000000000000 )
#315
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111100 )
-b00000000000000000000000000000001 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b00000000000000000000000000000001 (
+b11111000000000000000000000000000 )
#316
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111011 )
-b00000000000000000000000000000000 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b00000000000000000000000000000000 (
+b11111100000000000000000000000000 )
#317
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111010 )
-b11111111111111111111111111111111 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b11111111111111111111111111111111 (
+b11111110000000000000000000000000 )
#318
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111110 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b11111111111111111111111111111110 (
+b11111111000000000000000000000000 )
#319
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111101 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111101 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111101 (
+b11111111100000000000000000000000 )
#320
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111100 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111100 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111100 (
+b11111111110000000000000000000000 )
#321
b00000000000000000000000000000110 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000010000 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000010000 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000010000 (
+b11111111111111111111111111111111 )
#322
b11111111111111111111111111110111 $
-b00000000000000000000000000001111 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000001111 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000001111 (
#323
b11111111111111111111111111111000 $
-b00000000000000000000000000001110 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001110 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001110 (
#324
b11111111111111111111111111111001 $
-b00000000000000000000000000001101 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001101 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001101 (
#325
b11111111111111111111111111111010 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001100 (
#326
b11111111111111111111111111111011 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001011 (
#327
b11111111111111111111111111111100 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000001010 (
#328
b11111111111111111111111111111101 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000001001 (
#329
b11111111111111111111111111111110 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000001000 (
#330
b11111111111111111111111111111111 $
-b00000000000000000000000000000111 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000000111 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000000111 (
#331
b00000000000000000000000000000000 $
b10000000000000000000000000000110 &
-b00000000000000000000000000000110 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000110 *
-b10000000000000000000000000000110 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000110 (
+b10000000000000000000000000000110 )
#332
b00000000000000000000000000000001 $
b11000000000000000000000000000011 &
-b00000000000000000000000000000101 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000101 *
-b11000000000000000000000000000011 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000101 (
+b11000000000000000000000000000011 )
#333
b00000000000000000000000000000010 $
b11100000000000000000000000000001 &
-b00000000000000000000000000000100 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000100 *
-b11100000000000000000000000000001 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000100 (
+b11100000000000000000000000000001 )
#334
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b00000000000000000000000000000011 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000011 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000011 (
+b11110000000000000000000000000000 )
#335
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111100 )
-b00000000000000000000000000000010 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b00000000000000000000000000000010 (
+b11111000000000000000000000000000 )
#336
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111011 )
-b00000000000000000000000000000001 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b00000000000000000000000000000001 (
+b11111100000000000000000000000000 )
#337
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111010 )
-b00000000000000000000000000000000 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b00000000000000000000000000000000 (
+b11111110000000000000000000000000 )
#338
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111001 )
-b11111111111111111111111111111111 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b11111111111111111111111111111111 (
+b11111111000000000000000000000000 )
#339
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111110 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111110 (
+b11111111100000000000000000000000 )
#340
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111101 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111101 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111101 (
+b11111111110000000000000000000000 )
#341
b00000000000000000000000000000111 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000010001 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000010001 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000010001 (
+b11111111111111111111111111111111 )
#342
b11111111111111111111111111110111 $
-b00000000000000000000000000010000 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000010000 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000010000 (
#343
b11111111111111111111111111111000 $
-b00000000000000000000000000001111 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000001111 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000001111 (
#344
b11111111111111111111111111111001 $
-b00000000000000000000000000001110 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001110 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001110 (
#345
b11111111111111111111111111111010 $
-b00000000000000000000000000001101 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001101 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001101 (
#346
b11111111111111111111111111111011 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001100 (
#347
b11111111111111111111111111111100 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000001011 (
#348
b11111111111111111111111111111101 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000001010 (
#349
b11111111111111111111111111111110 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000001001 (
#350
b11111111111111111111111111111111 $
-b00000000000000000000000000001000 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000001000 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000001000 (
#351
b00000000000000000000000000000000 $
b10000000000000000000000000000111 &
-b00000000000000000000000000000111 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000000111 *
-b10000000000000000000000000000111 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000000111 (
+b10000000000000000000000000000111 )
#352
b00000000000000000000000000000001 $
b11000000000000000000000000000011 &
-b00000000000000000000000000000110 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000110 *
-b11000000000000000000000000000011 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000110 (
+b11000000000000000000000000000011 )
#353
b00000000000000000000000000000010 $
b11100000000000000000000000000001 &
-b00000000000000000000000000000101 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000101 *
-b11100000000000000000000000000001 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000101 (
+b11100000000000000000000000000001 )
#354
b00000000000000000000000000000011 $
b11110000000000000000000000000000 &
-b00000000000000000000000000000100 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000100 *
-b11110000000000000000000000000000 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000100 (
+b11110000000000000000000000000000 )
#355
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b00000000000000000000000000000011 '
-b11111111111111111111111111111100 )
-b00000000000000000000000000000011 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b00000000000000000000000000000011 (
+b11111000000000000000000000000000 )
#356
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111011 )
-b00000000000000000000000000000010 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b00000000000000000000000000000010 (
+b11111100000000000000000000000000 )
#357
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111010 )
-b00000000000000000000000000000001 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b00000000000000000000000000000001 (
+b11111110000000000000000000000000 )
#358
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111001 )
-b00000000000000000000000000000000 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b00000000000000000000000000000000 (
+b11111111000000000000000000000000 )
#359
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111111000 )
-b11111111111111111111111111111111 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b11111111111111111111111111111111 (
+b11111111100000000000000000000000 )
#360
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111110 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111110 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111110 (
+b11111111110000000000000000000000 )
#361
b00000000000000000000000000001000 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000010010 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000010010 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000010010 (
+b11111111111111111111111111111111 )
#362
b11111111111111111111111111110111 $
-b00000000000000000000000000010001 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000010001 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000010001 (
#363
b11111111111111111111111111111000 $
-b00000000000000000000000000010000 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000010000 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000010000 (
#364
b11111111111111111111111111111001 $
-b00000000000000000000000000001111 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000001111 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000001111 (
#365
b11111111111111111111111111111010 $
-b00000000000000000000000000001110 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001110 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001110 (
#366
b11111111111111111111111111111011 $
-b00000000000000000000000000001101 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001101 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001101 (
#367
b11111111111111111111111111111100 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000001100 (
#368
b11111111111111111111111111111101 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000001011 (
#369
b11111111111111111111111111111110 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000001010 (
#370
b11111111111111111111111111111111 $
-b00000000000000000000000000001001 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000001001 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000001001 (
#371
b00000000000000000000000000000000 $
b10000000000000000000000000001000 &
-b00000000000000000000000000001000 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000001000 *
-b10000000000000000000000000001000 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000001000 (
+b10000000000000000000000000001000 )
#372
b00000000000000000000000000000001 $
b11000000000000000000000000000100 &
-b00000000000000000000000000000111 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000000111 *
-b11000000000000000000000000000100 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000000111 (
+b11000000000000000000000000000100 )
#373
b00000000000000000000000000000010 $
b11100000000000000000000000000010 &
-b00000000000000000000000000000110 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000110 *
-b11100000000000000000000000000010 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000110 (
+b11100000000000000000000000000010 )
#374
b00000000000000000000000000000011 $
b11110000000000000000000000000001 &
-b00000000000000000000000000000101 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000101 *
-b11110000000000000000000000000001 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000101 (
+b11110000000000000000000000000001 )
#375
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b00000000000000000000000000000100 '
-b11111111111111111111111111111100 )
-b00000000000000000000000000000100 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b00000000000000000000000000000100 (
+b11111000000000000000000000000000 )
#376
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b00000000000000000000000000000011 '
-b11111111111111111111111111111011 )
-b00000000000000000000000000000011 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b00000000000000000000000000000011 (
+b11111100000000000000000000000000 )
#377
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111010 )
-b00000000000000000000000000000010 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b00000000000000000000000000000010 (
+b11111110000000000000000000000000 )
#378
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111001 )
-b00000000000000000000000000000001 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b00000000000000000000000000000001 (
+b11111111000000000000000000000000 )
#379
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111111000 )
-b00000000000000000000000000000000 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b00000000000000000000000000000000 (
+b11111111100000000000000000000000 )
#380
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b11111111111111111111111111111111 '
-b11111111111111111111111111110111 )
-b11111111111111111111111111111111 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b11111111111111111111111111111111 (
+b11111111110000000000000000000000 )
#381
b00000000000000000000000000001001 #
b11111111111111111111111111110110 $
b11111111111111111111111111111111 &
-b00000000000000000000000000010011 '
-b00000000000000000000000000001010 )
-b00000000000000000000000000010011 *
-b11111111111111111111111111111111 +
+b00000000000000000000000000001010 '
+b00000000000000000000000000010011 (
+b11111111111111111111111111111111 )
#382
b11111111111111111111111111110111 $
-b00000000000000000000000000010010 '
-b00000000000000000000000000001001 )
-b00000000000000000000000000010010 *
+b00000000000000000000000000001001 '
+b00000000000000000000000000010010 (
#383
b11111111111111111111111111111000 $
-b00000000000000000000000000010001 '
-b00000000000000000000000000001000 )
-b00000000000000000000000000010001 *
+b00000000000000000000000000001000 '
+b00000000000000000000000000010001 (
#384
b11111111111111111111111111111001 $
-b00000000000000000000000000010000 '
-b00000000000000000000000000000111 )
-b00000000000000000000000000010000 *
+b00000000000000000000000000000111 '
+b00000000000000000000000000010000 (
#385
b11111111111111111111111111111010 $
-b00000000000000000000000000001111 '
-b00000000000000000000000000000110 )
-b00000000000000000000000000001111 *
+b00000000000000000000000000000110 '
+b00000000000000000000000000001111 (
#386
b11111111111111111111111111111011 $
-b00000000000000000000000000001110 '
-b00000000000000000000000000000101 )
-b00000000000000000000000000001110 *
+b00000000000000000000000000000101 '
+b00000000000000000000000000001110 (
#387
b11111111111111111111111111111100 $
-b00000000000000000000000000001101 '
-b00000000000000000000000000000100 )
-b00000000000000000000000000001101 *
+b00000000000000000000000000000100 '
+b00000000000000000000000000001101 (
#388
b11111111111111111111111111111101 $
-b00000000000000000000000000001100 '
-b00000000000000000000000000000011 )
-b00000000000000000000000000001100 *
+b00000000000000000000000000000011 '
+b00000000000000000000000000001100 (
#389
b11111111111111111111111111111110 $
-b00000000000000000000000000001011 '
-b00000000000000000000000000000010 )
-b00000000000000000000000000001011 *
+b00000000000000000000000000000010 '
+b00000000000000000000000000001011 (
#390
b11111111111111111111111111111111 $
-b00000000000000000000000000001010 '
-b00000000000000000000000000000001 )
-b00000000000000000000000000001010 *
+b00000000000000000000000000000001 '
+b00000000000000000000000000001010 (
#391
b00000000000000000000000000000000 $
b10000000000000000000000000001001 &
-b00000000000000000000000000001001 '
-b00000000000000000000000000000000 )
-b00000000000000000000000000001001 *
-b10000000000000000000000000001001 +
+b00000000000000000000000000000000 '
+b00000000000000000000000000001001 (
+b10000000000000000000000000001001 )
#392
b00000000000000000000000000000001 $
b11000000000000000000000000000100 &
-b00000000000000000000000000001000 '
-b11111111111111111111111111111111 )
-b00000000000000000000000000001000 *
-b11000000000000000000000000000100 +
+b11111111111111111111111111111111 '
+b00000000000000000000000000001000 (
+b11000000000000000000000000000100 )
#393
b00000000000000000000000000000010 $
b11100000000000000000000000000010 &
-b00000000000000000000000000000111 '
-b11111111111111111111111111111110 )
-b00000000000000000000000000000111 *
-b11100000000000000000000000000010 +
+b11111111111111111111111111111110 '
+b00000000000000000000000000000111 (
+b11100000000000000000000000000010 )
#394
b00000000000000000000000000000011 $
b11110000000000000000000000000001 &
-b00000000000000000000000000000110 '
-b11111111111111111111111111111101 )
-b00000000000000000000000000000110 *
-b11110000000000000000000000000001 +
+b11111111111111111111111111111101 '
+b00000000000000000000000000000110 (
+b11110000000000000000000000000001 )
#395
b00000000000000000000000000000100 $
b11111000000000000000000000000000 &
-b00000000000000000000000000000101 '
-b11111111111111111111111111111100 )
-b00000000000000000000000000000101 *
-b11111000000000000000000000000000 +
+b11111111111111111111111111111100 '
+b00000000000000000000000000000101 (
+b11111000000000000000000000000000 )
#396
b00000000000000000000000000000101 $
b11111100000000000000000000000000 &
-b00000000000000000000000000000100 '
-b11111111111111111111111111111011 )
-b00000000000000000000000000000100 *
-b11111100000000000000000000000000 +
+b11111111111111111111111111111011 '
+b00000000000000000000000000000100 (
+b11111100000000000000000000000000 )
#397
b00000000000000000000000000000110 $
b11111110000000000000000000000000 &
-b00000000000000000000000000000011 '
-b11111111111111111111111111111010 )
-b00000000000000000000000000000011 *
-b11111110000000000000000000000000 +
+b11111111111111111111111111111010 '
+b00000000000000000000000000000011 (
+b11111110000000000000000000000000 )
#398
b00000000000000000000000000000111 $
b11111111000000000000000000000000 &
-b00000000000000000000000000000010 '
-b11111111111111111111111111111001 )
-b00000000000000000000000000000010 *
-b11111111000000000000000000000000 +
+b11111111111111111111111111111001 '
+b00000000000000000000000000000010 (
+b11111111000000000000000000000000 )
#399
b00000000000000000000000000001000 $
b11111111100000000000000000000000 &
-b00000000000000000000000000000001 '
-b11111111111111111111111111111000 )
-b00000000000000000000000000000001 *
-b11111111100000000000000000000000 +
+b11111111111111111111111111111000 '
+b00000000000000000000000000000001 (
+b11111111100000000000000000000000 )
#400
b00000000000000000000000000001001 $
b11111111110000000000000000000000 &
-b00000000000000000000000000000000 '
-b11111111111111111111111111110111 )
-b00000000000000000000000000000000 *
-b11111111110000000000000000000000 +
+b11111111111111111111111111110111 '
+b00000000000000000000000000000000 (
+b11111111110000000000000000000000 )