summaryrefslogtreecommitdiff
path: root/verilog/tbalu.h
diff options
context:
space:
mode:
Diffstat (limited to 'verilog/tbalu.h')
-rw-r--r--verilog/tbalu.h15
1 files changed, 15 insertions, 0 deletions
diff --git a/verilog/tbalu.h b/verilog/tbalu.h
new file mode 100644
index 0000000..9e010e6
--- /dev/null
+++ b/verilog/tbalu.h
@@ -0,0 +1,15 @@
+#ifndef ALUOP
+#define ALUOP
+
+#define ADD 0b0000
+#define SUB 0b1000
+#define XOR 0b0010
+#define OR 0b0110
+#define AND 0b0111
+#define SLL 0b0001
+#define SRL 0b0101
+#define SRA 0b1101
+#define SLT 0b0010
+#define SLTU 0b0011
+
+#endif