summaryrefslogtreecommitdiff
path: root/verilog/Makefile
blob: 4775c0d9640cbca95d8ca0e9f9cbb752d9436db1 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
datapath_test:
	verilator --trace --cc --exe --build -j 0 -Wall tbdatapath.cpp datapath.v
	./obj_dir/Vdatapath
datapath_synth:
	yosys -p "read_verilog datapath.v ; hierarchy -top datapath -libdir . ; synth_ecp5"
clean:
	rm -rf log_* obj_dir
alu_test:
	verilator --cc --exe --build -j 0 -Wall tbalu.cpp alu.v
	./obj_dir/Valu