summaryrefslogtreecommitdiff
path: root/verilog/fpu/fpu_bench.v
blob: ecbd659dbaad311b5fd33036c1d95f4a75115b69 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
`timescale 1us/1ns

`include "fpu_2.v"

module fpu_bench;

reg[31:0] input1, input2;
reg add = 1'b0;
wire[31:0] fpu_output;

fpu_2 fpu0 (add,input1, input2, fpu_output);

initial begin

	input1=32'b01000000000111001100110011001101; // 2.45
	input2=32'b00111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b + %16b = %16b",input1,input2,fpu_output);

	input1=32'b01000000000111001100110011001101; // 2.45
	input2=32'b10111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b + %16b = %16b",input1,input2,fpu_output);

	input1=32'b11000000000111001100110011001101; // 2.45
	input2=32'b00111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b + %16b = %16b",input1,input2,fpu_output);

	input1=32'b11000000000111001100110011001101; // 2.45
	input2=32'b10111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b + %16b = %16b",input1,input2,fpu_output);

	add = 1'b1;

	input1=32'b01000000000111001100110011001101; // 2.45
	input2=32'b00111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b - %16b = %16b",input1,input2,fpu_output);

	input1=32'b01000000000111001100110011001101; // 2.45
	input2=32'b10111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b - %16b = %16b",input1,input2,fpu_output);

	input1=32'b11000000000111001100110011001101; // 2.45
	input2=32'b00111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b - %16b = %16b",input1,input2,fpu_output);

	input1=32'b11000000000111001100110011001101; // 2.45
	input2=32'b10111111001001100110011001100110; //.65
	#5;
	$display("\nSum: %16b - %16b = %16b",input1,input2,fpu_output);
	$finish;
end
endmodule