aboutsummaryrefslogtreecommitdiff
path: root/multiplier/Makefile
diff options
context:
space:
mode:
authorjoshua <joshua@joshuayun.com>2023-12-22 20:39:14 -0500
committerjoshua <joshua@joshuayun.com>2023-12-22 20:39:58 -0500
commitc2f4f7dad93d68d2757b86ff28c1058982c22da5 (patch)
tree1bbae88e073cc20b9459dec338790d18150e1e1e /multiplier/Makefile
parent64174676bb02a59cda6640ccd1eb941f906494b1 (diff)
downloadriscv-processor-c2f4f7dad93d68d2757b86ff28c1058982c22da5.tar.gz
initial multiplier commit
Diffstat (limited to 'multiplier/Makefile')
-rw-r--r--multiplier/Makefile10
1 files changed, 10 insertions, 0 deletions
diff --git a/multiplier/Makefile b/multiplier/Makefile
new file mode 100644
index 0000000..d14a14f
--- /dev/null
+++ b/multiplier/Makefile
@@ -0,0 +1,10 @@
+all: tree test
+tree:
+ ./gen_wallace.py 32 -a
+test:
+ verilator --trace --cc --exe --build -j 0 -Wall tb_multiplier.cpp multiplier.v
+ ./obj_dir/Vmultiplier
+synth:
+ yosys -p "read_verilog multiplier.v ; hierarchy -top multiplier -libdir . ; synth_ecp5"
+clean:
+ rm -rf log_* obj_dir