aboutsummaryrefslogtreecommitdiff
path: root/multiplier/Makefile
blob: d14a14f6fe42a1fbf19ecab2155c5ca437659557 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
all: tree test
tree:
	./gen_wallace.py 32 -a
test:
	verilator --trace --cc --exe --build -j 0 -Wall tb_multiplier.cpp multiplier.v
	./obj_dir/Vmultiplier
synth:
	yosys -p "read_verilog multiplier.v ; hierarchy -top multiplier -libdir . ; synth_ecp5"
clean:
	rm -rf log_* obj_dir