aboutsummaryrefslogtreecommitdiff
path: root/multiplier/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'multiplier/Makefile')
-rw-r--r--multiplier/Makefile10
1 files changed, 10 insertions, 0 deletions
diff --git a/multiplier/Makefile b/multiplier/Makefile
new file mode 100644
index 0000000..d14a14f
--- /dev/null
+++ b/multiplier/Makefile
@@ -0,0 +1,10 @@
+all: tree test
+tree:
+ ./gen_wallace.py 32 -a
+test:
+ verilator --trace --cc --exe --build -j 0 -Wall tb_multiplier.cpp multiplier.v
+ ./obj_dir/Vmultiplier
+synth:
+ yosys -p "read_verilog multiplier.v ; hierarchy -top multiplier -libdir . ; synth_ecp5"
+clean:
+ rm -rf log_* obj_dir