summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorjoshua <joshua@joshuayun.com>2022-05-16 11:02:27 -0400
committerjoshua <joshua@joshuayun.com>2022-05-16 11:02:27 -0400
commit18d1ae8dd266a6aa126479a742e0e6f257d5f8a9 (patch)
treec18f3db13e81644ed7cf6530328c0bebaf0b234a
parent7a8afb2b6659f88881139fcbcb02de5476952152 (diff)
downloadriscv-processor-inorder-18d1ae8dd266a6aa126479a742e0e6f257d5f8a9.tar.gz
revised gitignore
-rw-r--r--.gitignore3
-rwxr-xr-xverilog/alu/v6/obj_dir/Valu6bin159960 -> 0 bytes
-rw-r--r--verilog/alu/v6/obj_dir/Valu6.cpp118
-rw-r--r--verilog/alu/v6/obj_dir/Valu6.h73
-rw-r--r--verilog/alu/v6/obj_dir/Valu6.mk68
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__ALL.abin18110 -> 0 bytes
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__ALL.cpp9
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__ALL.d13
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__ALL.obin16168 -> 0 bytes
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__Syms.cpp26
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__Syms.h39
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp75
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp107
-rw-r--r--verilog/alu/v6/obj_dir/Valu6___024root.h34
-rw-r--r--verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp135
-rw-r--r--verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp41
-rw-r--r--verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp25
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__ver.d1
-rw-r--r--verilog/alu/v6/obj_dir/Valu6__verFiles.dat19
-rw-r--r--verilog/alu/v6/obj_dir/Valu6_classes.mk54
-rw-r--r--verilog/alu/v6/obj_dir/tbalu.d9
-rw-r--r--verilog/alu/v6/obj_dir/tbalu.obin10096 -> 0 bytes
-rw-r--r--verilog/alu/v6/obj_dir/verilated.d9
-rw-r--r--verilog/alu/v6/obj_dir/verilated.obin155904 -> 0 bytes
-rw-r--r--verilog/alu/v6/obj_dir/verilated_vcd_c.d11
-rw-r--r--verilog/alu/v6/obj_dir/verilated_vcd_c.obin72648 -> 0 bytes
26 files changed, 2 insertions, 867 deletions
diff --git a/.gitignore b/.gitignore
index f8fc67f..4a963a0 100644
--- a/.gitignore
+++ b/.gitignore
@@ -1 +1,2 @@
-**/obj_dir
+verilog/*/*/obj_dir/*
+verilog/*/*/obj_dir
diff --git a/verilog/alu/v6/obj_dir/Valu6 b/verilog/alu/v6/obj_dir/Valu6
deleted file mode 100755
index 9c3d7d9..0000000
--- a/verilog/alu/v6/obj_dir/Valu6
+++ /dev/null
Binary files differ
diff --git a/verilog/alu/v6/obj_dir/Valu6.cpp b/verilog/alu/v6/obj_dir/Valu6.cpp
deleted file mode 100644
index 75840d5..0000000
--- a/verilog/alu/v6/obj_dir/Valu6.cpp
+++ /dev/null
@@ -1,118 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Model implementation (design independent parts)
-
-#include "Valu6.h"
-#include "Valu6__Syms.h"
-#include "verilated_vcd_c.h"
-
-//============================================================
-// Constructors
-
-Valu6::Valu6(VerilatedContext* _vcontextp__, const char* _vcname__)
- : vlSymsp{new Valu6__Syms(_vcontextp__, _vcname__, this)}
- , alu_op_i{vlSymsp->TOP.alu_op_i}
- , alu_in_1{vlSymsp->TOP.alu_in_1}
- , alu_in_2{vlSymsp->TOP.alu_in_2}
- , alu_output{vlSymsp->TOP.alu_output}
- , rootp{&(vlSymsp->TOP)}
-{
-}
-
-Valu6::Valu6(const char* _vcname__)
- : Valu6(nullptr, _vcname__)
-{
-}
-
-//============================================================
-// Destructor
-
-Valu6::~Valu6() {
- delete vlSymsp;
-}
-
-//============================================================
-// Evaluation loop
-
-void Valu6___024root___eval_initial(Valu6___024root* vlSelf);
-void Valu6___024root___eval_settle(Valu6___024root* vlSelf);
-void Valu6___024root___eval(Valu6___024root* vlSelf);
-#ifdef VL_DEBUG
-void Valu6___024root___eval_debug_assertions(Valu6___024root* vlSelf);
-#endif // VL_DEBUG
-void Valu6___024root___final(Valu6___024root* vlSelf);
-
-static void _eval_initial_loop(Valu6__Syms* __restrict vlSymsp) {
- vlSymsp->__Vm_didInit = true;
- Valu6___024root___eval_initial(&(vlSymsp->TOP));
- // Evaluate till stable
- vlSymsp->__Vm_activity = true;
- do {
- VL_DEBUG_IF(VL_DBG_MSGF("+ Initial loop\n"););
- Valu6___024root___eval_settle(&(vlSymsp->TOP));
- Valu6___024root___eval(&(vlSymsp->TOP));
- } while (0);
-}
-
-void Valu6::eval_step() {
- VL_DEBUG_IF(VL_DBG_MSGF("+++++TOP Evaluate Valu6::eval_step\n"); );
-#ifdef VL_DEBUG
- // Debug assertions
- Valu6___024root___eval_debug_assertions(&(vlSymsp->TOP));
-#endif // VL_DEBUG
- // Initialize
- if (VL_UNLIKELY(!vlSymsp->__Vm_didInit)) _eval_initial_loop(vlSymsp);
- // Evaluate till stable
- vlSymsp->__Vm_activity = true;
- do {
- VL_DEBUG_IF(VL_DBG_MSGF("+ Clock loop\n"););
- Valu6___024root___eval(&(vlSymsp->TOP));
- } while (0);
- // Evaluate cleanup
-}
-
-//============================================================
-// Utilities
-
-VerilatedContext* Valu6::contextp() const {
- return vlSymsp->_vm_contextp__;
-}
-
-const char* Valu6::name() const {
- return vlSymsp->name();
-}
-
-//============================================================
-// Invoke final blocks
-
-VL_ATTR_COLD void Valu6::final() {
- Valu6___024root___final(&(vlSymsp->TOP));
-}
-
-//============================================================
-// Trace configuration
-
-void Valu6___024root__trace_init_top(Valu6___024root* vlSelf, VerilatedVcd* tracep);
-
-VL_ATTR_COLD static void trace_init(void* voidSelf, VerilatedVcd* tracep, uint32_t code) {
- // Callback from tracep->open()
- Valu6___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast<Valu6___024root*>(voidSelf);
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- if (!vlSymsp->_vm_contextp__->calcUnusedSigs()) {
- VL_FATAL_MT(__FILE__, __LINE__, __FILE__,
- "Turning on wave traces requires Verilated::traceEverOn(true) call before time 0.");
- }
- vlSymsp->__Vm_baseCode = code;
- tracep->scopeEscape(' ');
- tracep->pushNamePrefix(std::string{vlSymsp->name()} + ' ');
- Valu6___024root__trace_init_top(vlSelf, tracep);
- tracep->popNamePrefix();
- tracep->scopeEscape('.');
-}
-
-VL_ATTR_COLD void Valu6___024root__trace_register(Valu6___024root* vlSelf, VerilatedVcd* tracep);
-
-VL_ATTR_COLD void Valu6::trace(VerilatedVcdC* tfp, int levels, int options) {
- if (false && levels && options) {} // Prevent unused
- tfp->spTrace()->addInitCb(&trace_init, &(vlSymsp->TOP));
- Valu6___024root__trace_register(&(vlSymsp->TOP), tfp->spTrace());
-}
diff --git a/verilog/alu/v6/obj_dir/Valu6.h b/verilog/alu/v6/obj_dir/Valu6.h
deleted file mode 100644
index b7d0b23..0000000
--- a/verilog/alu/v6/obj_dir/Valu6.h
+++ /dev/null
@@ -1,73 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Primary model header
-//
-// This header should be included by all source files instantiating the design.
-// The class here is then constructed to instantiate the design.
-// See the Verilator manual for examples.
-
-#ifndef VERILATED_VALU6_H_
-#define VERILATED_VALU6_H_ // guard
-
-#include "verilated.h"
-
-class Valu6__Syms;
-class Valu6___024root;
-class VerilatedVcdC;
-
-// This class is the main interface to the Verilated model
-class Valu6 VL_NOT_FINAL {
- private:
- // Symbol table holding complete model state (owned by this class)
- Valu6__Syms* const vlSymsp;
-
- public:
-
- // PORTS
- // The application code writes and reads these signals to
- // propagate new values into/out from the Verilated model.
- VL_IN8(&alu_op_i,3,0);
- VL_IN(&alu_in_1,31,0);
- VL_IN(&alu_in_2,31,0);
- VL_OUT(&alu_output,31,0);
-
- // CELLS
- // Public to allow access to /* verilator public */ items.
- // Otherwise the application code can consider these internals.
-
- // Root instance pointer to allow access to model internals,
- // including inlined /* verilator public_flat_* */ items.
- Valu6___024root* const rootp;
-
- // CONSTRUCTORS
- /// Construct the model; called by application code
- /// If contextp is null, then the model will use the default global context
- /// If name is "", then makes a wrapper with a
- /// single model invisible with respect to DPI scope names.
- explicit Valu6(VerilatedContext* contextp, const char* name = "TOP");
- explicit Valu6(const char* name = "TOP");
- /// Destroy the model; called (often implicitly) by application code
- virtual ~Valu6();
- private:
- VL_UNCOPYABLE(Valu6); ///< Copying not allowed
-
- public:
- // API METHODS
- /// Evaluate the model. Application must call when inputs change.
- void eval() { eval_step(); }
- /// Evaluate when calling multiple units/models per time step.
- void eval_step();
- /// Evaluate at end of a timestep for tracing, when using eval_step().
- /// Application must call after all eval() and before time changes.
- void eval_end_step() {}
- /// Simulation complete, run final blocks. Application must call on completion.
- void final();
- /// Trace signals in the model; called by application code
- void trace(VerilatedVcdC* tfp, int levels, int options = 0);
- /// Return current simulation context for this model.
- /// Used to get to e.g. simulation time via contextp()->time()
- VerilatedContext* contextp() const;
- /// Retrieve name of this model instance (as passed to constructor).
- const char* name() const;
-} VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES);
-
-#endif // guard
diff --git a/verilog/alu/v6/obj_dir/Valu6.mk b/verilog/alu/v6/obj_dir/Valu6.mk
deleted file mode 100644
index adf8ed7..0000000
--- a/verilog/alu/v6/obj_dir/Valu6.mk
+++ /dev/null
@@ -1,68 +0,0 @@
-# Verilated -*- Makefile -*-
-# DESCRIPTION: Verilator output: Makefile for building Verilated archive or executable
-#
-# Execute this makefile from the object directory:
-# make -f Valu6.mk
-
-default: Valu6
-
-### Constants...
-# Perl executable (from $PERL)
-PERL = perl
-# Path to Verilator kit (from $VERILATOR_ROOT)
-VERILATOR_ROOT = /usr/share/verilator
-# SystemC include directory with systemc.h (from $SYSTEMC_INCLUDE)
-SYSTEMC_INCLUDE ?=
-# SystemC library directory with libsystemc.a (from $SYSTEMC_LIBDIR)
-SYSTEMC_LIBDIR ?=
-
-### Switches...
-# C++ code coverage 0/1 (from --prof-c)
-VM_PROFC = 0
-# SystemC output mode? 0/1 (from --sc)
-VM_SC = 0
-# Legacy or SystemC output mode? 0/1 (from --sc)
-VM_SP_OR_SC = $(VM_SC)
-# Deprecated
-VM_PCLI = 1
-# Deprecated: SystemC architecture to find link library path (from $SYSTEMC_ARCH)
-VM_SC_TARGET_ARCH = linux
-
-### Vars...
-# Design prefix (from --prefix)
-VM_PREFIX = Valu6
-# Module prefix (from --prefix)
-VM_MODPREFIX = Valu6
-# User CFLAGS (from -CFLAGS on Verilator command line)
-VM_USER_CFLAGS = \
-
-# User LDLIBS (from -LDFLAGS on Verilator command line)
-VM_USER_LDLIBS = \
-
-# User .cpp files (from .cpp's on Verilator command line)
-VM_USER_CLASSES = \
- tbalu \
-
-# User .cpp directories (from .cpp's on Verilator command line)
-VM_USER_DIR = \
- . \
-
-
-### Default rules...
-# Include list of all generated classes
-include Valu6_classes.mk
-# Include global rules
-include $(VERILATOR_ROOT)/include/verilated.mk
-
-### Executable rules... (from --exe)
-VPATH += $(VM_USER_DIR)
-
-tbalu.o: tbalu.cpp
- $(OBJCACHE) $(CXX) $(CXXFLAGS) $(CPPFLAGS) $(OPT_FAST) -c -o $@ $<
-
-### Link rules... (from --exe)
-Valu6: $(VK_USER_OBJS) $(VK_GLOBAL_OBJS) $(VM_PREFIX)__ALL.a $(VM_HIER_LIBS)
- $(LINK) $(LDFLAGS) $^ $(LOADLIBES) $(LDLIBS) $(LIBS) $(SC_LIBS) -o $@
-
-
-# Verilated -*- Makefile -*-
diff --git a/verilog/alu/v6/obj_dir/Valu6__ALL.a b/verilog/alu/v6/obj_dir/Valu6__ALL.a
deleted file mode 100644
index 22e235a..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__ALL.a
+++ /dev/null
Binary files differ
diff --git a/verilog/alu/v6/obj_dir/Valu6__ALL.cpp b/verilog/alu/v6/obj_dir/Valu6__ALL.cpp
deleted file mode 100644
index 4e6f1a1..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__ALL.cpp
+++ /dev/null
@@ -1,9 +0,0 @@
-// DESCRIPTION: Generated by verilator_includer via makefile
-#define VL_INCLUDE_OPT include
-#include "Valu6.cpp"
-#include "Valu6___024root__DepSet_he7565067__0.cpp"
-#include "Valu6__Trace__0.cpp"
-#include "Valu6___024root__Slow.cpp"
-#include "Valu6___024root__DepSet_he7565067__0__Slow.cpp"
-#include "Valu6__Syms.cpp"
-#include "Valu6__Trace__0__Slow.cpp"
diff --git a/verilog/alu/v6/obj_dir/Valu6__ALL.d b/verilog/alu/v6/obj_dir/Valu6__ALL.d
deleted file mode 100644
index 0dd5496..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__ALL.d
+++ /dev/null
@@ -1,13 +0,0 @@
-Valu6__ALL.o: Valu6__ALL.cpp Valu6.cpp Valu6.h \
- /usr/share/verilator/include/verilated.h \
- /usr/share/verilator/include/verilatedos.h \
- /usr/share/verilator/include/verilated_config.h \
- /usr/share/verilator/include/verilated_types.h \
- /usr/share/verilator/include/verilated_funcs.h Valu6__Syms.h \
- Valu6___024root.h /usr/share/verilator/include/verilated_vcd_c.h \
- /usr/share/verilator/include/verilated.h \
- /usr/share/verilator/include/verilated_trace.h \
- /usr/share/verilator/include/verilated_trace_defs.h \
- Valu6___024root__DepSet_he7565067__0.cpp Valu6__Trace__0.cpp \
- Valu6___024root__Slow.cpp Valu6___024root__DepSet_he7565067__0__Slow.cpp \
- Valu6__Syms.cpp Valu6__Trace__0__Slow.cpp
diff --git a/verilog/alu/v6/obj_dir/Valu6__ALL.o b/verilog/alu/v6/obj_dir/Valu6__ALL.o
deleted file mode 100644
index d9eaf6c..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__ALL.o
+++ /dev/null
Binary files differ
diff --git a/verilog/alu/v6/obj_dir/Valu6__Syms.cpp b/verilog/alu/v6/obj_dir/Valu6__Syms.cpp
deleted file mode 100644
index fe8a162..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__Syms.cpp
+++ /dev/null
@@ -1,26 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Symbol table implementation internals
-
-#include "Valu6__Syms.h"
-#include "Valu6.h"
-#include "Valu6___024root.h"
-
-// FUNCTIONS
-Valu6__Syms::~Valu6__Syms()
-{
-}
-
-Valu6__Syms::Valu6__Syms(VerilatedContext* contextp, const char* namep,Valu6* modelp)
- : VerilatedSyms{contextp}
- // Setup internal state of the Syms class
- , __Vm_modelp{modelp}
- // Setup module instances
- , TOP{this, namep}
-{
- // Configure time unit / time precision
- _vm_contextp__->timeunit(-6);
- _vm_contextp__->timeprecision(-9);
- // Setup each module's pointers to their submodules
- // Setup each module's pointer back to symbol table (for public functions)
- TOP.__Vconfigure(true);
-}
diff --git a/verilog/alu/v6/obj_dir/Valu6__Syms.h b/verilog/alu/v6/obj_dir/Valu6__Syms.h
deleted file mode 100644
index 7c4690b..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__Syms.h
+++ /dev/null
@@ -1,39 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Symbol table internal header
-//
-// Internal details; most calling programs do not need this header,
-// unless using verilator public meta comments.
-
-#ifndef VERILATED_VALU6__SYMS_H_
-#define VERILATED_VALU6__SYMS_H_ // guard
-
-#include "verilated.h"
-
-// INCLUDE MODEL CLASS
-
-#include "Valu6.h"
-
-// INCLUDE MODULE CLASSES
-#include "Valu6___024root.h"
-
-// SYMS CLASS (contains all model state)
-class Valu6__Syms final : public VerilatedSyms {
- public:
- // INTERNAL STATE
- Valu6* const __Vm_modelp;
- bool __Vm_activity = false; ///< Used by trace routines to determine change occurred
- uint32_t __Vm_baseCode = 0; ///< Used by trace routines when tracing multiple models
- bool __Vm_didInit = false;
-
- // MODULE INSTANCE STATE
- Valu6___024root TOP;
-
- // CONSTRUCTORS
- Valu6__Syms(VerilatedContext* contextp, const char* namep, Valu6* modelp);
- ~Valu6__Syms();
-
- // METHODS
- const char* name() { return TOP.name(); }
-} VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES);
-
-#endif // guard
diff --git a/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp b/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp
deleted file mode 100644
index 71768c8..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__Trace__0.cpp
+++ /dev/null
@@ -1,75 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Tracing implementation internals
-#include "verilated_vcd_c.h"
-#include "Valu6__Syms.h"
-
-
-void Valu6___024root__trace_chg_sub_0(Valu6___024root* vlSelf, VerilatedVcd* tracep);
-
-void Valu6___024root__trace_chg_top_0(void* voidSelf, VerilatedVcd* tracep) {
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_chg_top_0\n"); );
- // Init
- Valu6___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast<Valu6___024root*>(voidSelf);
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- if (VL_UNLIKELY(!vlSymsp->__Vm_activity)) return;
- // Body
- Valu6___024root__trace_chg_sub_0((&vlSymsp->TOP), tracep);
-}
-
-void Valu6___024root__trace_chg_sub_0(Valu6___024root* vlSelf, VerilatedVcd* tracep) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_chg_sub_0\n"); );
- // Init
- uint32_t* const oldp VL_ATTR_UNUSED = tracep->oldp(vlSymsp->__Vm_baseCode + 1);
- // Body
- tracep->chgIData(oldp+0,(vlSelf->alu_in_1),32);
- tracep->chgIData(oldp+1,(vlSelf->alu_in_2),32);
- tracep->chgCData(oldp+2,(vlSelf->alu_op_i),4);
- tracep->chgIData(oldp+3,(vlSelf->alu_output),32);
- tracep->chgIData(oldp+4,(((IData)(1U) + (~ vlSelf->alu_in_2))),32);
- tracep->chgIData(oldp+5,(vlSelf->alu6__DOT__sum),32);
- tracep->chgIData(oldp+6,((((0x1fU >= (0x3fU & vlSelf->alu_in_2))
- ? (vlSelf->alu_in_1
- >> (0x3fU & vlSelf->alu_in_2))
- : 0U) | ((8U & (IData)(vlSelf->alu_op_i))
- ? ((IData)(0xffffffffU)
- << ((vlSelf->alu_in_2
- >> 0x1fU)
- ? 0U
- :
- ((0x10U
- & ((~
- (vlSelf->alu_in_2
- >> 4U))
- << 4U))
- | ((8U
- & ((~
- (vlSelf->alu_in_2
- >> 3U))
- << 3U))
- | ((4U
- & ((~
- (vlSelf->alu_in_2
- >> 2U))
- << 2U))
- | ((2U
- & ((~
- (vlSelf->alu_in_2
- >> 1U))
- << 1U))
- | (1U
- & (~ vlSelf->alu_in_2))))))))
- : 0U))),32);
-}
-
-void Valu6___024root__trace_cleanup(void* voidSelf, VerilatedVcd* /*unused*/) {
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_cleanup\n"); );
- // Init
- Valu6___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast<Valu6___024root*>(voidSelf);
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VlUnpacked<CData/*0:0*/, 1> __Vm_traceActivity;
- // Body
- vlSymsp->__Vm_activity = false;
- __Vm_traceActivity[0U] = 0U;
-}
diff --git a/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp
deleted file mode 100644
index e16a25e..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__Trace__0__Slow.cpp
+++ /dev/null
@@ -1,107 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Tracing implementation internals
-#include "verilated_vcd_c.h"
-#include "Valu6__Syms.h"
-
-
-VL_ATTR_COLD void Valu6___024root__trace_init_sub__TOP__0(Valu6___024root* vlSelf, VerilatedVcd* tracep) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_init_sub__TOP__0\n"); );
- // Init
- const int c = vlSymsp->__Vm_baseCode;
- // Body
- tracep->declBus(c+1,"alu_in_1", false,-1, 31,0);
- tracep->declBus(c+2,"alu_in_2", false,-1, 31,0);
- tracep->declBus(c+3,"alu_op_i", false,-1, 3,0);
- tracep->declBus(c+4,"alu_output", false,-1, 31,0);
- tracep->pushNamePrefix("alu6 ");
- tracep->declBus(c+1,"alu_in_1", false,-1, 31,0);
- tracep->declBus(c+2,"alu_in_2", false,-1, 31,0);
- tracep->declBus(c+3,"alu_op_i", false,-1, 3,0);
- tracep->declBus(c+4,"alu_output", false,-1, 31,0);
- tracep->declBus(c+5,"complement2", false,-1, 31,0);
- tracep->declBus(c+6,"sum", false,-1, 31,0);
- tracep->declBus(c+7,"right", false,-1, 31,0);
- tracep->popNamePrefix(1);
-}
-
-VL_ATTR_COLD void Valu6___024root__trace_init_top(Valu6___024root* vlSelf, VerilatedVcd* tracep) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_init_top\n"); );
- // Body
- Valu6___024root__trace_init_sub__TOP__0(vlSelf, tracep);
-}
-
-VL_ATTR_COLD void Valu6___024root__trace_full_top_0(void* voidSelf, VerilatedVcd* tracep);
-void Valu6___024root__trace_chg_top_0(void* voidSelf, VerilatedVcd* tracep);
-void Valu6___024root__trace_cleanup(void* voidSelf, VerilatedVcd* /*unused*/);
-
-VL_ATTR_COLD void Valu6___024root__trace_register(Valu6___024root* vlSelf, VerilatedVcd* tracep) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_register\n"); );
- // Body
- tracep->addFullCb(&Valu6___024root__trace_full_top_0, vlSelf);
- tracep->addChgCb(&Valu6___024root__trace_chg_top_0, vlSelf);
- tracep->addCleanupCb(&Valu6___024root__trace_cleanup, vlSelf);
-}
-
-VL_ATTR_COLD void Valu6___024root__trace_full_sub_0(Valu6___024root* vlSelf, VerilatedVcd* tracep);
-
-VL_ATTR_COLD void Valu6___024root__trace_full_top_0(void* voidSelf, VerilatedVcd* tracep) {
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_full_top_0\n"); );
- // Init
- Valu6___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast<Valu6___024root*>(voidSelf);
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- // Body
- Valu6___024root__trace_full_sub_0((&vlSymsp->TOP), tracep);
-}
-
-VL_ATTR_COLD void Valu6___024root__trace_full_sub_0(Valu6___024root* vlSelf, VerilatedVcd* tracep) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root__trace_full_sub_0\n"); );
- // Init
- uint32_t* const oldp VL_ATTR_UNUSED = tracep->oldp(vlSymsp->__Vm_baseCode);
- // Body
- tracep->fullIData(oldp+1,(vlSelf->alu_in_1),32);
- tracep->fullIData(oldp+2,(vlSelf->alu_in_2),32);
- tracep->fullCData(oldp+3,(vlSelf->alu_op_i),4);
- tracep->fullIData(oldp+4,(vlSelf->alu_output),32);
- tracep->fullIData(oldp+5,(((IData)(1U) + (~ vlSelf->alu_in_2))),32);
- tracep->fullIData(oldp+6,(vlSelf->alu6__DOT__sum),32);
- tracep->fullIData(oldp+7,((((0x1fU >= (0x3fU & vlSelf->alu_in_2))
- ? (vlSelf->alu_in_1
- >> (0x3fU & vlSelf->alu_in_2))
- : 0U) | ((8U & (IData)(vlSelf->alu_op_i))
- ? ((IData)(0xffffffffU)
- << ((vlSelf->alu_in_2
- >> 0x1fU)
- ? 0U
- :
- ((0x10U
- & ((~
- (vlSelf->alu_in_2
- >> 4U))
- << 4U))
- | ((8U
- & ((~
- (vlSelf->alu_in_2
- >> 3U))
- << 3U))
- | ((4U
- & ((~
- (vlSelf->alu_in_2
- >> 2U))
- << 2U))
- | ((2U
- & ((~
- (vlSelf->alu_in_2
- >> 1U))
- << 1U))
- | (1U
- & (~ vlSelf->alu_in_2))))))))
- : 0U))),32);
-}
diff --git a/verilog/alu/v6/obj_dir/Valu6___024root.h b/verilog/alu/v6/obj_dir/Valu6___024root.h
deleted file mode 100644
index 968e6b6..0000000
--- a/verilog/alu/v6/obj_dir/Valu6___024root.h
+++ /dev/null
@@ -1,34 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Design internal header
-// See Valu6.h for the primary calling header
-
-#ifndef VERILATED_VALU6___024ROOT_H_
-#define VERILATED_VALU6___024ROOT_H_ // guard
-
-#include "verilated.h"
-
-class Valu6__Syms;
-VL_MODULE(Valu6___024root) {
- public:
-
- // DESIGN SPECIFIC STATE
- VL_IN8(alu_op_i,3,0);
- VL_IN(alu_in_1,31,0);
- VL_IN(alu_in_2,31,0);
- VL_OUT(alu_output,31,0);
- IData/*31:0*/ alu6__DOT__sum;
-
- // INTERNAL VARIABLES
- Valu6__Syms* const vlSymsp;
-
- // CONSTRUCTORS
- Valu6___024root(Valu6__Syms* symsp, const char* name);
- ~Valu6___024root();
- VL_UNCOPYABLE(Valu6___024root);
-
- // INTERNAL METHODS
- void __Vconfigure(bool first);
-} VL_ATTR_ALIGNED(VL_CACHE_LINE_BYTES);
-
-
-#endif // guard
diff --git a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp b/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp
deleted file mode 100644
index 4a9f08e..0000000
--- a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0.cpp
+++ /dev/null
@@ -1,135 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Design implementation internals
-// See Valu6.h for the primary calling header
-
-#include "verilated.h"
-
-#include "Valu6___024root.h"
-
-VL_INLINE_OPT void Valu6___024root___combo__TOP__0(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___combo__TOP__0\n"); );
- // Body
- vlSelf->alu6__DOT__sum = (vlSelf->alu_in_1 + ((IData)(
- (((IData)(vlSelf->alu_op_i)
- >> 3U)
- | (2U
- ==
- (3U
- & (IData)(vlSelf->alu_op_i)))))
- ?
- ((IData)(1U)
- +
- (~ vlSelf->alu_in_2))
- : vlSelf->alu_in_2));
- vlSelf->alu_output = ((0U == (7U & (IData)(vlSelf->alu_op_i)))
- ? vlSelf->alu6__DOT__sum
- : ((4U == (7U & (IData)(vlSelf->alu_op_i)))
- ? (vlSelf->alu_in_1
- ^ vlSelf->alu_in_2)
- : ((6U == (7U & (IData)(vlSelf->alu_op_i)))
- ? (vlSelf->alu_in_1
- | vlSelf->alu_in_2)
- : ((7U == (7U & (IData)(vlSelf->alu_op_i)))
- ? (vlSelf->alu_in_1
- & vlSelf->alu_in_2)
- : ((1U == (7U
- & (IData)(vlSelf->alu_op_i)))
- ? ((0x40U
- & vlSelf->alu_in_2)
- ? 0U
- : ((0x1fU
- >=
- (0x3fU
- & vlSelf->alu_in_2))
- ?
- (vlSelf->alu_in_1
- <<
- (0x3fU
- & vlSelf->alu_in_2))
- : 0U))
- : ((5U ==
- (7U
- & (IData)(vlSelf->alu_op_i)))
- ? ((
- (0x1fU
- >=
- (0x3fU
- & vlSelf->alu_in_2))
- ?
- (vlSelf->alu_in_1
- >>
- (0x3fU
- & vlSelf->alu_in_2))
- : 0U)
- | ((8U
- & (IData)(vlSelf->alu_op_i))
- ?
- ((IData)(0xffffffffU)
- <<
- ((vlSelf->alu_in_2
- >> 0x1fU)
- ? 0U
- :
- ((0x10U
- & ((~
- (vlSelf->alu_in_2
- >> 4U))
- << 4U))
- | ((8U
- & ((~
- (vlSelf->alu_in_2
- >> 3U))
- << 3U))
- | ((4U
- & ((~
- (vlSelf->alu_in_2
- >> 2U))
- << 2U))
- | ((2U
- & ((~
- (vlSelf->alu_in_2
- >> 1U))
- << 1U))
- | (1U
- & (~ vlSelf->alu_in_2))))))))
- : 0U))
- : ((2U
- ==
- (7U
- & (IData)(vlSelf->alu_op_i)))
- ?
- (vlSelf->alu6__DOT__sum
- >> 0x1fU)
- :
- ((3U
- ==
- (7U
- & (IData)(vlSelf->alu_op_i)))
- ?
- ((vlSelf->alu_in_1
- < vlSelf->alu_in_2)
- ? 1U
- : 0U)
- : 0U))))))));
-}
-
-void Valu6___024root___eval(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___eval\n"); );
- // Body
- Valu6___024root___combo__TOP__0(vlSelf);
-}
-
-#ifdef VL_DEBUG
-void Valu6___024root___eval_debug_assertions(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___eval_debug_assertions\n"); );
- // Body
- if (VL_UNLIKELY((vlSelf->alu_op_i & 0xf0U))) {
- Verilated::overWidthError("alu_op_i");}
-}
-#endif // VL_DEBUG
diff --git a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp
deleted file mode 100644
index 88991f6..0000000
--- a/verilog/alu/v6/obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp
+++ /dev/null
@@ -1,41 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Design implementation internals
-// See Valu6.h for the primary calling header
-
-#include "verilated.h"
-
-#include "Valu6___024root.h"
-
-VL_ATTR_COLD void Valu6___024root___eval_initial(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___eval_initial\n"); );
-}
-
-void Valu6___024root___combo__TOP__0(Valu6___024root* vlSelf);
-
-VL_ATTR_COLD void Valu6___024root___eval_settle(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___eval_settle\n"); );
- // Body
- Valu6___024root___combo__TOP__0(vlSelf);
-}
-
-VL_ATTR_COLD void Valu6___024root___final(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___final\n"); );
-}
-
-VL_ATTR_COLD void Valu6___024root___ctor_var_reset(Valu6___024root* vlSelf) {
- if (false && vlSelf) {} // Prevent unused
- Valu6__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp;
- VL_DEBUG_IF(VL_DBG_MSGF("+ Valu6___024root___ctor_var_reset\n"); );
- // Body
- vlSelf->alu_in_1 = VL_RAND_RESET_I(32);
- vlSelf->alu_in_2 = VL_RAND_RESET_I(32);
- vlSelf->alu_op_i = VL_RAND_RESET_I(4);
- vlSelf->alu_output = VL_RAND_RESET_I(32);
- vlSelf->alu6__DOT__sum = VL_RAND_RESET_I(32);
-}
diff --git a/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp b/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp
deleted file mode 100644
index 4167b09..0000000
--- a/verilog/alu/v6/obj_dir/Valu6___024root__Slow.cpp
+++ /dev/null
@@ -1,25 +0,0 @@
-// Verilated -*- C++ -*-
-// DESCRIPTION: Verilator output: Design implementation internals
-// See Valu6.h for the primary calling header
-
-#include "verilated.h"
-
-#include "Valu6__Syms.h"
-#include "Valu6___024root.h"
-
-void Valu6___024root___ctor_var_reset(Valu6___024root* vlSelf);
-
-Valu6___024root::Valu6___024root(Valu6__Syms* symsp, const char* name)
- : VerilatedModule{name}
- , vlSymsp{symsp}
- {
- // Reset structure values
- Valu6___024root___ctor_var_reset(this);
-}
-
-void Valu6___024root::__Vconfigure(bool first) {
- if (false && first) {} // Prevent unused
-}
-
-Valu6___024root::~Valu6___024root() {
-}
diff --git a/verilog/alu/v6/obj_dir/Valu6__ver.d b/verilog/alu/v6/obj_dir/Valu6__ver.d
deleted file mode 100644
index 6294dcb..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__ver.d
+++ /dev/null
@@ -1 +0,0 @@
-obj_dir/Valu6.cpp obj_dir/Valu6.h obj_dir/Valu6.mk obj_dir/Valu6__Syms.cpp obj_dir/Valu6__Syms.h obj_dir/Valu6__Trace__0.cpp obj_dir/Valu6__Trace__0__Slow.cpp obj_dir/Valu6___024root.h obj_dir/Valu6___024root__DepSet_he7565067__0.cpp obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp obj_dir/Valu6___024root__Slow.cpp obj_dir/Valu6__ver.d obj_dir/Valu6_classes.mk : /usr/bin/verilator_bin /usr/bin/verilator_bin alu6.v aluOp.vh
diff --git a/verilog/alu/v6/obj_dir/Valu6__verFiles.dat b/verilog/alu/v6/obj_dir/Valu6__verFiles.dat
deleted file mode 100644
index 2eb12ee..0000000
--- a/verilog/alu/v6/obj_dir/Valu6__verFiles.dat
+++ /dev/null
@@ -1,19 +0,0 @@
-# DESCRIPTION: Verilator output: Timestamp data for --skip-identical. Delete at will.
-C "-Wall --cc --exe --build tbalu.cpp alu6.v --trace"
-S 8318248 12607448 1652499360 168084664 1652156559 0 "/usr/bin/verilator_bin"
-S 982 10642998 1652590884 626885564 1652590884 626885564 "alu6.v"
-S 369 10643000 1652590884 626885564 1652590884 626885564 "aluOp.vh"
-T 3826 10643007 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6.cpp"
-T 2697 10643006 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6.h"
-T 1814 10643015 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6.mk"
-T 743 10643004 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Syms.cpp"
-T 1082 10643005 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Syms.h"
-T 4056 10643013 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Trace__0.cpp"
-T 5759 10643012 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__Trace__0__Slow.cpp"
-T 785 10643008 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root.h"
-T 7924 10643011 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root__DepSet_he7565067__0.cpp"
-T 1639 10643010 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root__DepSet_he7565067__0__Slow.cpp"
-T 620 10643009 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6___024root__Slow.cpp"
-T 435 10643016 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__ver.d"
-T 0 0 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6__verFiles.dat"
-T 1743 10643014 1652590925 168103129 1652590925 168103129 "obj_dir/Valu6_classes.mk"
diff --git a/verilog/alu/v6/obj_dir/Valu6_classes.mk b/verilog/alu/v6/obj_dir/Valu6_classes.mk
deleted file mode 100644
index 6ef8f77..0000000
--- a/verilog/alu/v6/obj_dir/Valu6_classes.mk
+++ /dev/null
@@ -1,54 +0,0 @@
-# Verilated -*- Makefile -*-
-# DESCRIPTION: Verilator output: Make include file with class lists
-#
-# This file lists generated Verilated files, for including in higher level makefiles.
-# See Valu6.mk for the caller.
-
-### Switches...
-# C11 constructs required? 0/1 (always on now)
-VM_C11 = 1
-# Coverage output mode? 0/1 (from --coverage)
-VM_COVERAGE = 0
-# Parallel builds? 0/1 (from --output-split)
-VM_PARALLEL_BUILDS = 0
-# Threaded output mode? 0/1/N threads (from --threads)
-VM_THREADS = 0
-# Tracing output mode? 0/1 (from --trace/--trace-fst)
-VM_TRACE = 1
-# Tracing output mode in FST format? 0/1 (from --trace-fst)
-VM_TRACE_FST = 0
-# Tracing threaded output mode? 0/1/N threads (from --trace-thread)
-VM_TRACE_THREADS = 0
-# Separate FST writer thread? 0/1 (from --trace-fst with --trace-thread > 0)
-VM_TRACE_FST_WRITER_THREAD = 0
-
-### Object file lists...
-# Generated module classes, fast-path, compile with highest optimization
-VM_CLASSES_FAST += \
- Valu6 \
- Valu6___024root__DepSet_he7565067__0 \
-
-# Generated module classes, non-fast-path, compile with low/medium optimization
-VM_CLASSES_SLOW += \
- Valu6___024root__Slow \
- Valu6___024root__DepSet_he7565067__0__Slow \
-
-# Generated support classes, fast-path, compile with highest optimization
-VM_SUPPORT_FAST += \
- Valu6__Trace__0 \
-
-# Generated support classes, non-fast-path, compile with low/medium optimization
-VM_SUPPORT_SLOW += \
- Valu6__Syms \
- Valu6__Trace__0__Slow \
-
-# Global classes, need linked once per executable, fast-path, compile with highest optimization
-VM_GLOBAL_FAST += \
- verilated \
- verilated_vcd_c \
-
-# Global classes, need linked once per executable, non-fast-path, compile with low/medium optimization
-VM_GLOBAL_SLOW += \
-
-
-# Verilated -*- Makefile -*-
diff --git a/verilog/alu/v6/obj_dir/tbalu.d b/verilog/alu/v6/obj_dir/tbalu.d
deleted file mode 100644
index 7d7066c..0000000
--- a/verilog/alu/v6/obj_dir/tbalu.d
+++ /dev/null
@@ -1,9 +0,0 @@
-tbalu.o: ../tbalu.cpp /usr/share/verilator/include/verilated.h \
- /usr/share/verilator/include/verilatedos.h \
- /usr/share/verilator/include/verilated_config.h \
- /usr/share/verilator/include/verilated_types.h \
- /usr/share/verilator/include/verilated_funcs.h \
- /usr/share/verilator/include/verilated_vcd_c.h \
- /usr/share/verilator/include/verilated.h \
- /usr/share/verilator/include/verilated_trace.h \
- /usr/share/verilator/include/verilated_trace_defs.h Valu6.h ../aluOp.h
diff --git a/verilog/alu/v6/obj_dir/tbalu.o b/verilog/alu/v6/obj_dir/tbalu.o
deleted file mode 100644
index 16e8370..0000000
--- a/verilog/alu/v6/obj_dir/tbalu.o
+++ /dev/null
Binary files differ
diff --git a/verilog/alu/v6/obj_dir/verilated.d b/verilog/alu/v6/obj_dir/verilated.d
deleted file mode 100644
index 7f4c5e4..0000000
--- a/verilog/alu/v6/obj_dir/verilated.d
+++ /dev/null
@@ -1,9 +0,0 @@
-verilated.o: /usr/share/verilator/include/verilated.cpp \
- /usr/share/verilator/include/verilatedos.h \
- /usr/share/verilator/include/verilated_imp.h \
- /usr/share/verilator/include/verilated.h \
- /usr/share/verilator/include/verilated_config.h \
- /usr/share/verilator/include/verilated_types.h \
- /usr/share/verilator/include/verilated_funcs.h \
- /usr/share/verilator/include/verilated_syms.h \
- /usr/share/verilator/include/verilated_sym_props.h
diff --git a/verilog/alu/v6/obj_dir/verilated.o b/verilog/alu/v6/obj_dir/verilated.o
deleted file mode 100644
index a6100ef..0000000
--- a/verilog/alu/v6/obj_dir/verilated.o
+++ /dev/null
Binary files differ
diff --git a/verilog/alu/v6/obj_dir/verilated_vcd_c.d b/verilog/alu/v6/obj_dir/verilated_vcd_c.d
deleted file mode 100644
index 667485f..0000000
--- a/verilog/alu/v6/obj_dir/verilated_vcd_c.d
+++ /dev/null
@@ -1,11 +0,0 @@
-verilated_vcd_c.o: /usr/share/verilator/include/verilated_vcd_c.cpp \
- /usr/share/verilator/include/verilatedos.h \
- /usr/share/verilator/include/verilated.h \
- /usr/share/verilator/include/verilated_config.h \
- /usr/share/verilator/include/verilated_types.h \
- /usr/share/verilator/include/verilated_funcs.h \
- /usr/share/verilator/include/verilated_vcd_c.h \
- /usr/share/verilator/include/verilated_trace.h \
- /usr/share/verilator/include/verilated_trace_defs.h \
- /usr/share/verilator/include/verilated_trace_imp.cpp \
- /usr/share/verilator/include/verilated_intrinsics.h
diff --git a/verilog/alu/v6/obj_dir/verilated_vcd_c.o b/verilog/alu/v6/obj_dir/verilated_vcd_c.o
deleted file mode 100644
index a454467..0000000
--- a/verilog/alu/v6/obj_dir/verilated_vcd_c.o
+++ /dev/null
Binary files differ